BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107"

Transkripsi

1 BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107 Berkaitan dengan pembuatan alat percobaan efek fotolistrik, diperlukan sebuah alat ukur yang bisa mengukur arus dan tegangan DC dengan polarisasi positif dan negatif. Berikut ini adalah skema rangkaian untuk membuat alat ukur tersebut. Spesifikasi khusus alat ukur yang dibutuhkan adalah voltmeter yang dapat mengukur tegangan DC baik positif dan negatif dengan rentang pengukuran 0-19,99 volt dan resolusi 0,01volt. Gambar IV.1 Skema Rangkaian Voltmeter Menggunakan ICL7107 Rangkaian voltmeter ini cukup ideal untuk mengukur tegangan keluaran DC. Di display dengan empat buat seven segment dan menggunakan indikator tegangan negatif. Tegangan keluaran yang dapat terukur adalah dari V dengan resolusi 0,01 V. Bagian utama dari voltmeter ini adalah sebuah ICL7107, menggunakan tegangan input 5V dengan arus hanya 25mA.

2 Komponen yang dibutuhkan untuk membuat rangkaian voltmeter digital: 1. ICL 7107 untuk Rangkaian Voltmeter Gambar IV.2 Skema ICL7107 ICL7107 merupakan rangkaian terintegrasi yang didalamnya sudah mengandung Analog ti Digital Converter (ADC), Binary Code Decimal (BCD) to Seven Segment Decoder dan Counter. IC ini memiliki 40 pin yang masing-masing fungsinya akan dijelaskan dalam bab ini, dan untuk lebih detailnya pada bagian akhir laporan akan dilampirkan data sheet dari ICL7107. Skema dari profil ICL7107 dapat dilihat pada gambar IV.2 diatas. Dalam penggunaan ICL7107 untuk voltmeter, fungsi kaki-kaki pada ICL7107 dijelaskan pada tabel IV.1.

3 Tabel IV.1 Penjelasan 40 pin ICL 7107 Kaki 1 V+, tegangan sumber + untuk ICL7107 Kaki 2 Tegangan untuk segment D display 1 Kaki 3 Tegangan untuk segment C display 1 Kaki 4 Tegangan untuk segment B display 1 Kaki 5 Tegangan untuk segment A display 1 Kaki 6 Tegangan untuk segment F display 1 Kaki 7 Tegangan untuk segment G display 1 Kaki 8 Tegangan untuk segment E display 2 Kaki 9 Tegangan untuk segment D display 2 Kaki 10 Tegangan untuk segment C display 2 Kaki 11 Tegangan untuk segment B display 2 Kaki 12 Tegangan untuk segment A display 2 Kaki 13 Tegangan untuk segment F display 2 Kaki 14 Tegangan untuk segment E display 2 Kaki 15 Tegangan untuk segment D display 3 Kaki 16 Tegangan untuk segment B display 3 Kaki 17 Tegangan untuk segment F display 3 Kaki 18 Tegangan untuk segment E display 3 Kaki 19 Tegangan untuk segment B dan C display 4 Kaki 20 Tegangan untuk segment Gdisplay 4 Kaki 21 Ground (GND) Kaki 22 Tegangan untuk segment G display 3 Kaki 23 Tegangan untuk segment A display 3 Kaki 24 Tegangan untuk segment C display 3 Kaki 25 Tegangan untuk segment G display 2 Kaki 26 V-, tegangan sumber (-) untuk ICL7107 Kaki 27 Input pada fungsi integrator Kaki 28 Input pada buffer ICL7107 Kaki 29 Auto Zero comparator, berfungsi untuk mengurangi noise Kaki 30 Tegangan input (-) yang diukur Kaki 31 Tegangan input (+) yang diukur Kaki 32 Kaki fungsi COMMON Kaki 33 Input kapasitor referent (-) Kaki 34 Input kapasitor referent (+) Kaki 35 Input referensi tegangan Hi Kaki 36 Input referensi tegangan Low Kaki 37 Test Kaki 38 Osilator Kaki 39 Osilator Kaki 40 Osilator

4 Seperti diuraikan diatas bahwa ICL7107 merupakan rangkaian yang terintegrasi, berikut ini adalah penjelasan secara garis besar mengenai bagianbagian tersebut: Gambar IV. 3 a. Analog to Digital Converter Pada gambar IV.3 dapat dilihat bahwa input tegangan yang diukur dimasukan melalui kaki 31 (IN Hi) dan kaki 30 (IN Low) berupa tagangan DC analog. Sinyall ini kemudian dijadikan input untuk Op Amp integrator yang menghasilkan bentuk sinyall digital Gambar IV.4

5 b. Counter Counter adalah rangkaian penghitung jumlah clock yang masuk ke dalam rangkaian tersebut. c. Binary Code Decimal (BCD) to Seven Segment Decoder Rangkaian decoder memiliki fungsi untuk mengubah sinyall bilangan BCD menjadi sinyall yang terbaca oleh seven segment. Pengubahan kode pada sistem BCD kedalam seven segment dapat dilihat pada tabel IV.2 berikut ini. Tabel IV.2 Perubahan sinyall BCD menjadi kode untuk seven segment Input Seven Segment Common Anoda Tampilan BCD a b c d e f g Angka

6 2 Penjelasan Mengenai Seven Segment Common Anoda Tabel IV.3 Penjelasan Pin Seven Segment Common Anoda PIN FUNGSI E katoda no 1 D katoda no 1 C katoda no 1 DP katoda no 1 E katoda no 2 D katoda no 2 G katoda no 2 C katoda no 2 DP katoda no 2 B katoda no 2 A katoda no 2 F katoda no 2 Titik no 2 anoda Titik no 1 anoda B katoda no 1 A katoda no 1 G katoda no 1 F katoda no 1 Gambar IV. 5a Gambar IV.5b Sebuah seven segment terdiri dari delapan buah LED dengan posisi seperti digambarkan oleh gambar IV.5b dengan LED yang kedelapan adalah LED untuk titik. Untuk seven segment tipe common anoda, maka kaki common kita berikan tegangan positif.

7 3. Komponen lain a. IC 7660 berfungsi sebagai pengubah tegangan positif menjadi tegangan negatif, yaitu +5 menjadi -5. IC ini dapat diganti oleh 7905 yang juga dapat menghasilkan tegangan yang sama. b. Tiga buah dioda 1N4148 berfungsi untuk menjamin bahwa tagangan yang masuk ke kaki anoda adalah tegangan positif. Untuk mendapatkan sinar LED yang lebih terang, maka cukup dipasang dua buah dioda 1N4148 saja. c. Resistor 100KO dan kapasitor 100pF yang akan difungsikan sebagai osilator, dipasang pada kaki 38, 39 dan 40. d. Resistor 10KO dan resistor variabel 10KO untuk mengatur tegangan referensi yang dipasang pada kaki 32, 35 dan 36. Tegangan ini berfungsi untuk melakukan kalibrasi rangkaian agar sesuai dengan standard alat ukur yang sudah ada. e. Kapasitor 100nF, berfungsi sebagai kapasitor untuk tegangan referensi. f. Kapasitor 220nF 470nF sebagai kapasitor Auto Zero, yaitu untuk meminimalisir noise. g. Resistor 47kO sebagai reisitor buffer. h. Resistor 100KO berperan sebagai pembagi tegangan pada rangkaian.

BAB III PERENCANAAN. Pada bab ini akan dijelaskan langkah-langkah yang digunakan dalam

BAB III PERENCANAAN. Pada bab ini akan dijelaskan langkah-langkah yang digunakan dalam BAB III PERENCANAAN Pada bab ini akan dijelaskan langkah-langkah yang digunakan dalam merencanakan alat yang dibuat. Adapun pelaksanaannya adalah dengan menentukan spesifikasi dan mengimplementasikan dari

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

OP-01 UNIVERSAL OP AMP

OP-01 UNIVERSAL OP AMP OP-01 UNIVERSAL OP AMP Perkembangan teknologi mikrokontroler dan digital dewasa ini semakin pesat. Berbagai macam jenis mikrokontroler, peripheral maupun IC-IC Digital semakin mempermudah para praktisi

Lebih terperinci

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur 6 BAB II PENDEKATAN PEMECAHAN MASALAH A. Tombol Kuis dengan Pengatur dan Penampil Nilai Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur sebagai berikut: 1. tombol pengolah

Lebih terperinci

Jobsheet Praktikum DECODER

Jobsheet Praktikum DECODER 1 DECODER A. Tujuan Kegiatan Praktikum 6 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian DECODER. 2) Mengetahui karakteristik rangkaian DECODER. B. Dasar Teori Kegiatan

Lebih terperinci

RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35

RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35 POLITEKNOLOGI VOL. 9, NOMOR 2, MEI 2010 RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35 Benny dan Nur Fauzi Soelaiman Jurusan Teknik Elektro, Politeknik

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER)

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) A. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 8 Bit. 2. Mahasiswa dapat merancang rangkaian ADC

Lebih terperinci

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T.

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. PRAKTIKUM 2 DECODER-ENCODER JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. Nama : Fachryzal Candra Trisnawan NIM : 160533611466 Prog. Studi - Off

Lebih terperinci

VOLTMETER DAN MULTIMETER DIGITAL

VOLTMETER DAN MULTIMETER DIGITAL Pengukuran Besaran Listrik (TC22082) Pertemuan 6 VOLTMETER DAN MULTIMETER DIGITAL Voltmeter Digital (DVM : Digital VoltMeter) Pada dasarnya DVM terdiri atas konverter analog ke digital (ADC), seven segment

Lebih terperinci

TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY. DOSEN : DR. Satria Gunawan Zain, M.T TANGGAL KUMPUL PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER

TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY. DOSEN : DR. Satria Gunawan Zain, M.T TANGGAL KUMPUL PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER KELAS PTIK 05 2014 LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN ABD.MALIK RAUF 1429040053

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1.

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. 23 BAB III METODOLOGI PENELITIAN 3.1 Blok Diagram Modul Baby Incubator Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. PLN THERMOSTAT POWER SUPPLY FAN HEATER DRIVER HEATER DISPLAY

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA 2.1. Penelitian Terdahulu Pertumbuhan bayi dan anak sangatlah berpengaruh dari asupan gizi yang diberikan. Air Susu Ibu (ASI) adalah peran terpenting dalam hal ini. Beberapa faktor

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERACAGA SISTEM Pada bab ini penulis akan menjelaskan mengenai perencanaan modul pengatur mas pada mobile x-ray berbasis mikrokontroller atmega8535 yang meliputi perencanaan dan pembuatan rangkaian

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias BAB IV PENGUJIAN ALAT DAN ANALISIS DATA 4.1. Kalibrasi Sistem CV Meter Kalibrasi yang dilakukan meliputi kalibrasi IDAC, IDAC1, Vstep dan ADC. IDAC yang digunakan mempunyai resolusi 8 bit dengan arus skala

Lebih terperinci

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah BAB IV CARA KERJA DAN PERANCANGAN SISTEM 4.1 Diagram Blok Sistem Blok diagram dibawah ini menjelaskan bahwa ketika juri dari salah satu bahkan ketiga juri diarea pertandingan menekan keypad pada alat pencatat

Lebih terperinci

Jurnal Skripsi. Mesin Mini Voting Digital

Jurnal Skripsi. Mesin Mini Voting Digital Jurnal Skripsi Alat mesin mini voting digital ini adalah alat yang digunakan untuk melakukan pemilihan suara, dikarenakan dalam pelaksanaanya banyaknya terjadi kecurangan dalam perhitungan jumlah hasil

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN BAB IV PENGUJIAN DAN ANALISA RANGKAIAN 4.1 Pengujian Pengujian rangkaian skematik ini dilakukan untuk melihat kinerja sistem yang telah di rancang berdasarkan deskripsi kerja alat yang diinginkan. Apabila

Lebih terperinci

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK ADC-DAC A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui prinsip kerja ADC dan DAC.. Mengetahui toleransi kesalahan ADC dan ketelitian DAC.. Memahami

Lebih terperinci

BAB II TEORI DASAR. digital terutama pada sistem-sistem yang kompleks.

BAB II TEORI DASAR. digital terutama pada sistem-sistem yang kompleks. 4 BAB II TEORI DASAR 2.1 U m u m Perkembangan teknologi dalam bidang elektronika khususnya teknologi mikrochip telah menimbulkan suatu perubahan besar dalam membuat dan merancang sistem digital terutama

Lebih terperinci

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED 3.1. Rancang Bangun Perangkat Keras Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar 3.1. Sistem ini terdiri dari komputer, antarmuka

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Perancangan merupakan proses yang kita lakukan terhadap alat, mulai dari rancangan kerja rangkaian hingga hasil jadi yang akan difungsikan. Perancangan dan pembuatan alat merupakan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/04 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

RANCANGAN VOLTMETER DIGITAL MENGGUNAAN ICL7107 DAN ANIMASI DENGAN 3DS-MAX 9 UNTUK ALAT PERCOBAAN EFEK FOTOLISTRIK. Proyek Akhir

RANCANGAN VOLTMETER DIGITAL MENGGUNAAN ICL7107 DAN ANIMASI DENGAN 3DS-MAX 9 UNTUK ALAT PERCOBAAN EFEK FOTOLISTRIK. Proyek Akhir RANCANGAN VOLTMETER DIGITAL MENGGUNAAN ICL7107 DAN ANIMASI DENGAN 3DS-MAX 9 UNTUK ALAT PERCOBAAN EFEK FOTOLISTRIK Proyek Akhir Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Pada bab ini akan dijelaskan langkah-langkah yang akan digunakan dalam menyelesaikan perangkat keras (hardware) yang berupa komponen fisik penunjang seperti IC AT89S52 dan perangkat

Lebih terperinci

DAC - ADC Digital to Analog Converter Analog to Digital Converter

DAC - ADC Digital to Analog Converter Analog to Digital Converter DAC - ADC Digital to Analog Converter Analog to Digital Converter Missa Lamsani Hal 1 Konverter Alat bantu digital yang paling penting untuk teknologi kontrol proses adalah yang menerjemahkan informasi

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

DIGITAL PANEL METER-DPM (7 SEGMENT LED PANEL VOLT METER)

DIGITAL PANEL METER-DPM (7 SEGMENT LED PANEL VOLT METER) f f f f DIGITAL PANEL METER-DPM (7 SEGMENT LED PANEL VOLT METER) Digital Panel Meter perform digital processing on or conversion and display of voltages, currents, other analog signals, and pulse signals.

Lebih terperinci

Modul 04: Op-Amp. Penguat Inverting, Non-Inverting, dan Comparator dengan Histeresis. 1 Alat dan Komponen. 2 Teori Singkat

Modul 04: Op-Amp. Penguat Inverting, Non-Inverting, dan Comparator dengan Histeresis. 1 Alat dan Komponen. 2 Teori Singkat Modul 04: Op-Amp Penguat Inverting, Non-Inverting, dan Comparator dengan Histeresis Reza Rendian Septiawan March 3, 2015 Op-amp merupakan suatu komponen elektronika aktif yang dapat menguatkan sinyal dengan

Lebih terperinci

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip 8 DAC - ADC TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip Menjelaskan rangkaian dasar DAC dengan menggunakan Op-Amp. Menjelaskan

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN ALAT

BAB III PERENCANAAN DAN PEMBUATAN ALAT BAB III PERENCANAAN DAN PEMBUATAN ALAT BABill PERENCANAAN DAN PEMBUATAN ALAT 3.1. Blok Diagram Alat Dalam pcrencanaan dan pembuatan alat, maka blok diagram secara sederhana ditunjukkan pada Gambar 3.1.

Lebih terperinci

PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS. Nama : Idham Rustandi NPM : Pembimbing : Dr. Ir. Hartono Siswono, MT

PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS. Nama : Idham Rustandi NPM : Pembimbing : Dr. Ir. Hartono Siswono, MT PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS Nama : Idham Rustandi NPM : 11409103 Pembimbing : Dr. Ir. Hartono Siswono, MT ABSTRAKSI Latar belakang penulisan ini adalah adanya penulisan

Lebih terperinci

RANCANG BANGUN ALAT HITUNG JUMLAH KENDARAAN MEMANFAATKAN SENSOR INFRAMERAH SEBAGAI INPUT PADA GERBANG PARKIR BERBASIS MIKROKONTROLER ATMEGA8535

RANCANG BANGUN ALAT HITUNG JUMLAH KENDARAAN MEMANFAATKAN SENSOR INFRAMERAH SEBAGAI INPUT PADA GERBANG PARKIR BERBASIS MIKROKONTROLER ATMEGA8535 RANCANG BANGUN ALAT HITUNG JUMLAH KENDARAAN MEMANFAATKAN SENSOR INFRAMERAH SEBAGAI INPUT PADA GERBANG PARKIR BERBASIS MIKROKONTROLER ATMEGA8535 TUGAS AKHIR MARLENI 102408002 PROGRAM STUDI D3 FISIKA DEPARTEMEN

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas dasar teori yang berhubungan dengan perancangan skripsi antara lain fungsi dari function generator, osilator, MAX038, rangkaian operasional amplifier, Mikrokontroler

Lebih terperinci

SKRIPSI. I~I-'-H - m PERANCANGAN DAN PEMBUATAN MULTIMETER DIGITAL AUTO RANGE. I No. I"IDUI( D'j-9- (02., - --~ i. !

SKRIPSI. I~I-'-H - m PERANCANGAN DAN PEMBUATAN MULTIMETER DIGITAL AUTO RANGE. I No. IIDUI( D'j-9- (02., - --~ i. ! PERANCANGAN DAN PEMBUATAN MULTIMETER DIGITAL AUTO RANGE SKRIPSI Oleh; NAMA: LlNO ERNESTO NRP ;, 10309604' I kcp', KE I No. I"IDUI( D'j-9- (02., - --~-. -------i I TG~. _~F.PI\fA I' ~O Ja(\ '0;), _ I~I-'-H

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Volume 10 No 1, April 2017 Hlm. 44-50 ISSN 0216-9495 (Print) ISSN 2502-5325 (Online) Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Teguh Arifianto Program Studi Teknik

Lebih terperinci

TUGAS AKHIR PERANCANGAN DAN PEMBUATAN MODEL HUMIDIFIER

TUGAS AKHIR PERANCANGAN DAN PEMBUATAN MODEL HUMIDIFIER TUGAS AKHIR PERANCANGAN DAN PEMBUATAN MODEL HUMIDIFIER Diajukan guna melengkapi sebagian syarat dalam mencapai gelar Sarjana Strata Satu ( S1 ) Disusun Oleh : Nama : Heri Setiawan NIM : 41409110066 Program

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 21 BAB III PERANCANGAN SISTEM 3.1 Rangkaian Keseluruhan Sistem kendali yang dibuat ini terdiri dari beberapa blok bagian yaitu blok bagian plant (objek yang dikendalikan), blok bagian sensor, blok interface

Lebih terperinci

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal cara kerja dari peraga 7-segmen 2. Mengenal cara kerja rangkaian

Lebih terperinci

BAB III PERANCANGAN ALAT. Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari

BAB III PERANCANGAN ALAT. Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari BAB III PERANCANGAN ALAT Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari beberapa perangkat keras (Hardware) yang akan dibentuk menjadi satu rangkaian pemodulasi sinyal digital

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1 Hypothermia Hypothermia yaitu keadaan dimana suhu tubuh menurun dari keadaan suhu normal (37,5 C). Hypothermia bisa menyebabkan hipoglikemia (kadar gula yang rendah), asidosis

Lebih terperinci

Membuat kontrol display seven segment Membuat program Counter baik Up Counter maupun Down Counter dengan media tampilan 7-Segment.

Membuat kontrol display seven segment Membuat program Counter baik Up Counter maupun Down Counter dengan media tampilan 7-Segment. DISPLAY 7SEGMENT Menggunakan Arduino Uno Membuat kontrol display seven segment Membuat program Counter baik Up Counter maupun Down Counter dengan media tampilan 7-Segment. A. Hardware Arduino Uno Arduino

Lebih terperinci

BAB III PERANCANGAN DAN KERJA ALAT

BAB III PERANCANGAN DAN KERJA ALAT BAB III PERANCANGAN DAN KERJA ALAT 3.1 DIAGRAM BLOK sensor optocoupler lantai 1 POWER SUPPLY sensor optocoupler lantai 2 sensor optocoupler lantai 3 Tombol lantai 1 Tbl 1 Tbl 2 Tbl 3 DRIVER ATMEGA 8535

Lebih terperinci

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA DIGITAL VOLTMETER TRAINER AS A LEARNING MEDIA OF DIGITAL

Lebih terperinci

III. METODE PENELITIAN. Pelaksanaan tugas akhir ini dilakukan di Laboratorium Terpadu Jurusan Teknik Elektro

III. METODE PENELITIAN. Pelaksanaan tugas akhir ini dilakukan di Laboratorium Terpadu Jurusan Teknik Elektro 22 III. METODE PENELITIAN A. Waktu dan Tempat. Pelaksanaan tugas akhir ini dilakukan di Laboratorium Terpadu Jurusan Teknik Elektro Fakultas Tekik, Universitas Lampung, yang dilaksanakan mulai bulan Oktober

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI 5 BAB II LANDASAN TEORI 2.1 Arduino Uno Arduino uno adalah sebuah board mikrokontroller yang berbasis ATmega328. Arduino memiliki 14 pin input/output yang mana 6 pin dapat digunakan sebagai output PWM,

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Sistem Respirasi Gambar 2.1 Sistem respirasi manusia 1 2.1.1 Pendahuluan Proses respirasi sangat penting untuk kelangsungan hidup karena berfungsi sebagai pemasok oksigen untuk

Lebih terperinci

Rangkaian Adder dengan Seven Segment

Rangkaian Adder dengan Seven Segment Rangkaian Adder dengan Seven Segment Diajukan untuk memenuhi kelulusan mata kuliah Teknik Rangkaian Terintegrasi Dosen : Ni matul Ma muriyah, M.Eng Disusun oleh: Thursy Rienda Aulia Satriani (1221009)

Lebih terperinci

Gambar 3. 1 Diagram blok system digital

Gambar 3. 1 Diagram blok system digital 3.1 Introduction Kebanyakan informasi yang ada di dunia nyata adalah besaran analog. Contohnya tegangan, arus listrik, massa, tekanan, suhu, intensitas cahaya dan lain sebagainya. Namun pada era masa kini

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

Rancang Bangun Sistem Pengaturan Suhu Ruang Inkubator Bayi Berbasis Microcontroller AT89S51

Rancang Bangun Sistem Pengaturan Suhu Ruang Inkubator Bayi Berbasis Microcontroller AT89S51 Berkala Fisika ISSN : 1410 9662 Vol. 12, No. 2, April 2009, hal 55-62 Rancang Bangun Sistem Pengaturan Suhu Ruang Inkubator Bayi Berbasis Microcontroller AT89S51 Heri Sugito, Suryono Laboratorium Elektronika

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM BAB III PERANCANGAN DAN REALISASI ALAT 3.1 Pembuatan Modulator 8-QAM Dalam Pembuatan Modulator 8-QAM ini, berdasarkan pada blok diagram modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok

Lebih terperinci

PERCOBAAN DAC TANGGA R-2R ( DAC 0808 )

PERCOBAAN DAC TANGGA R-2R ( DAC 0808 ) PERCOBAAN DAC TANGGA R- ( DAC 0808 ) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id A. TUJUAN 1. Mempelajari cara kerja DAC yang menggunakan metode Tangga R-. 2. Merancang rangkaian

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian SYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian SYNCHRONOUS COUNTER

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51

MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51 MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51 TUGAS UTS MATA KULIAH E-BUSSINES Dosen Pengampu : Prof. M.Suyanto,MM

Lebih terperinci

MICROCONTROLER AVR AT MEGA 8535

MICROCONTROLER AVR AT MEGA 8535 MICROCONTROLER AVR AT MEGA 8535 Dwisnanto Putro, S.T., M.Eng. MIKROKONTROLER AVR Jenis Mikrokontroler AVR dan spesifikasinya Flash adalah suatu jenis Read Only Memory yang biasanya diisi dengan program

Lebih terperinci

PRAKTIKUM 8 Output Seven Segment Pada Arduino

PRAKTIKUM 8 Output Seven Segment Pada Arduino PRAKTIKUM 8 Output Seven Segment Pada Arduino 1. TUJUAN Mahasiswa dapat mengetahui bagaimana mengouputkan karakter angka dan huruf pada seven segment menggunakan arduino. Mahasiswa dapat mengetahui bagaimana

Lebih terperinci

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output BAB IV HASIL DAN PEMBAHASAN 4.1 Pengukuran Alat Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output pin kaki masing-masing

Lebih terperinci

BAB III DESKRIPSI MASALAH

BAB III DESKRIPSI MASALAH BAB III DESKRIPSI MASALAH 3.1 Perancangan Hardware Perancangan hardware ini meliputi keseluruhan perancangan, artinya dari masukan sampai keluaran dengan menghasilkan energi panas. Dibawah ini adalah diagram

Lebih terperinci

Pengukuran dan Alat Ukur. Rudi Susanto

Pengukuran dan Alat Ukur. Rudi Susanto Pengukuran dan Alat Ukur Rudi Susanto Pengertian pengukuran Mengukur berarti mendapatkan sesuatu yang dinyatakan dengan bilangan. Informasi yang bersifat kuantitatif dari sebuah pekerjaan penelitian merupakan

Lebih terperinci

Gambar 3.1. Diagram alir metodologi perancangan

Gambar 3.1. Diagram alir metodologi perancangan 19 BAB 3 METODOLOGI PERANCANGAN 3.1. Metode Perancangan Berikut merupakan diagram alur kerja yang menggambarkan tahapantahapan dalam proses rancang bangun alat pemutus daya siaga otomatis pada Peralatan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Mikrokontroller ATMEGA 8535 Mikrokontroller merupakan sebuah single chip yang didalamnya telah dilengkapi dengan CPU (Central Processing Unit), RAM (Random Acces Memory), ROM

Lebih terperinci

PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL )

PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL ) PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL ) Imam Chaerudin Jurusan Teknik Elektro, Fakultas Teknologi Industri, Universitas Gunadarma, Margonda Raya 100 Depok 16424 telp (021) 78881112,

Lebih terperinci

BAB II KONSEP DASAR PERANCANGAN

BAB II KONSEP DASAR PERANCANGAN BAB II KONSEP DASAR PERANCANGAN Pada bab ini akan dijelaskan konsep dasar sistem keamanan rumah nirkabel berbasis mikrokontroler menggunakan modul Xbee Pro. Konsep dasar sistem ini terdiri dari gambaran

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT 21 BAB III PERANCANGAN ALAT 3.1 Perancangan Alat Perancangan merupakan suatu tahap yang sangat penting dalam pembuatan suatu alat, sebab dengan menganalisa komponen yang digunakan maka alat yang akan dibuat

Lebih terperinci

BAB IV PENGUJIAN ALAT

BAB IV PENGUJIAN ALAT BAB IV PENGUJIAN ALAT 4.1 Pengujian Rangkaian Setelah semua komponen terpasang dan program selesai disusun, maka langkah berikutnya adalah melakukan pengujian alat. Pengujian ini dilakukan secara bertahap

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 25 BAB III PERANCANGAN SISTEM Sistem monitoring ini terdiri dari perangkat keras (hadware) dan perangkat lunak (software). Perangkat keras terdiri dari bagian blok pengirim (transmitter) dan blok penerima

Lebih terperinci

COUNTER DAN TRANSPORTER BARANG BERBASIS MIKROKONTROLLER AT89C51 ABSTRAKSI

COUNTER DAN TRANSPORTER BARANG BERBASIS MIKROKONTROLLER AT89C51 ABSTRAKSI COUNTER DAN TRANSPORTER BARANG BERBASIS MIKROKONTROLLER AT89C5 ABSTRAKSI Amri Arifianto, 000307 COUNTER DAN TRANSPORTER BARANG BERBASIS MIKROKONTROLLER AT89C5 Skripsi, Fakultas Ilmu Komputer, 005 Kata

Lebih terperinci

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan BAB V PENGUJIAN DAN ANALISIS Pada bab ini akan diuraikan tentang proses pengujian sistem yang meliputi pengukuran terhadap parameter-parameter dari setiap komponen per blok maupun secara keseluruhan, dan

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI

BAB III PERENCANAAN DAN REALISASI BAB III PERENCANAAN DAN REALISASI 3.1 Perancangan Blok Diaram Metode untuk pelaksanaan Program dimulai dengan mempelajari sistem pendeteksi kebocoran gas pada rumah yang akan digunakan. Dari sini dikembangkan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM III PERNCNGN SISTEM Pada bab ini akan dibahas tentang diagram blok sistem yang menjelaskan tentang prinsip kerja alat dan program serta membahas perancangan sistem alat yang meliputi perangkat keras dan

Lebih terperinci

USER MANUAL TRAINER SAKLAR SUHU OTOMATIS MATA DIKLAT : PERAKITAN ALAT PENGENDALI

USER MANUAL TRAINER SAKLAR SUHU OTOMATIS MATA DIKLAT : PERAKITAN ALAT PENGENDALI USER MANUAL TRAINER SAKLAR SUHU OTOMATIS MATA DIKLAT : PERAKITAN ALAT PENGENDALI SISWA KELAS XII TEI2 JURUSAN TEKNIK ELEKTRONIKA INDUSTRI SMK NEGERI 3 BOYOLANGU CREW 2 CREW 11268/130.EI Suryo Hadi Sampurno

Lebih terperinci

BAB III TEORI PENUNJANG. Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di

BAB III TEORI PENUNJANG. Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di BAB III TEORI PENUNJANG 3.1. Microcontroller ATmega8 Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di dalamnya terkandung sebuah inti proccesor, memori (sejumlah kecil RAM, memori

Lebih terperinci

BAB IV PEMBAHASAN ALAT

BAB IV PEMBAHASAN ALAT BAB IV PEMBAHASAN ALAT Pada bab pembahasan alat ini penulis akan menguraikan mengenai pengujian dan analisa prototipe. Untuk mendukung pengujian dan analisa modul terlebih dahulu penulis akan menguraikan

Lebih terperinci

BAB IV PENGUJIAN PROPELLER DISPLAY

BAB IV PENGUJIAN PROPELLER DISPLAY BAB IV PENGUJIAN PROPELLER DISPLAY 4.1 Hasil Perancangan Setelah melewati tahap perancangan yang meliputi perancangan mekanik, elektrik, dan pemrograman. Maka terbentuklah sebuah propeller display berbasis

Lebih terperinci

BAB V RANCANGAN ALAT PERCOBAAN EFEK FOTOLISTRIK

BAB V RANCANGAN ALAT PERCOBAAN EFEK FOTOLISTRIK BAB V RANCANGAN ALAT PERCOBAAN EFEK FOTOLISTRIK Seperti dijelaskan pada sub bab 2.2 diatas, pada prinsipnya efek fotolistrik terjadi karena elektron pada suatu atom menerima energi dari foton yang dipancarkan

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013.

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013. III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013. Perancangan alat penelitian dilakukan di Laboratorium Elektronika, Laboratorium

Lebih terperinci

BAB III PERANCANGAN Bahan dan Peralatan

BAB III PERANCANGAN Bahan dan Peralatan BAB III PERANCANGAN 3.1 Pendahuluan Perancangan merupakan tahapan terpenting dari pelaksanaan penelitian ini. Pada tahap perancangan harus memahami sifat-sifat, karakteristik, spesifikasi dari komponen-komponen

Lebih terperinci

BAB III RANCANGAN DAN CARA KERJA ALAT

BAB III RANCANGAN DAN CARA KERJA ALAT BAB III RANCANGAN DAN CARA KERJA ALAT 3.1 Perancangan Alat 3.1.1 Blok Diagram Perancangan Alat Rancangan dan cara kerja alat secara blok diagram yaitu untuk mempermudah dalam menganalisa rangkaian secara

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA. Untuk mengetahui apakah tujuan-tujuan dari pembuatan alat ini telah

BAB IV PENGUJIAN DAN ANALISA. Untuk mengetahui apakah tujuan-tujuan dari pembuatan alat ini telah 55 BAB IV PENGUJIAN DAN ANALISA Untuk mengetahui apakah tujuan-tujuan dari pembuatan alat ini telah telaksana dengan baik atau tidak, maka perlu dilakukan pengujian dan analisa terhadap alat yang dibuat.

Lebih terperinci

KONVERTER PERTEMUAN 13. Sasaran Pertemuan 13

KONVERTER PERTEMUAN 13. Sasaran Pertemuan 13 PERTEMUAN 13 KONVERTER Sasaran Pertemuan 13 Mahasiswa diharapkan mengerti tentang Converter yang terdiri dari : - Rangkaian ADC - Rangkaian DAC - Rangkaian Pembanding 1 Data di dalam mikroprosesor selalu

Lebih terperinci

NAMA : VICTOR WELLYATER NPM : : DR. SETIYONO,ST,.MT : BAMBANG DWINANTO,ST,.MT

NAMA : VICTOR WELLYATER NPM : : DR. SETIYONO,ST,.MT : BAMBANG DWINANTO,ST,.MT RANCANG BANGUN PENGENDALIAN MOTOR DC BERBASIS UNIJUNCTION TRANSISTOR (UJT) SEBAGAI PENGATUR KONDUKTIVITAS SILICON CONTROLLED RECTIFIER (SCR) DALAM SUPLAI TEGANGAN INPUT NAMA : VICTOR WELLYATER NPM : 18410369

Lebih terperinci

BAB 2 LANDASAN TEORI. Mikrokontroler AT89S52 termasuk kedalam keluarga MCS-51 merupakan suatu. dua macam memori yang sifatnya berbeda yaitu:

BAB 2 LANDASAN TEORI. Mikrokontroler AT89S52 termasuk kedalam keluarga MCS-51 merupakan suatu. dua macam memori yang sifatnya berbeda yaitu: BAB 2 LANDASAN TEORI 2.1 Perangkat Keras 2.1.1 Mikrokontroler AT89S52 Mikrokontroler AT89S52 termasuk kedalam keluarga MCS-51 merupakan suatu mikrokomputer CMOS 8 bit dengan daya rendah, kemampuan tinggi,

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Percobaan 9 MULTIPLEKSER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 9 MULTIPLEKSER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 9 MULTIPLEKSER Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan :. Mempelajari fungsi multiplekser, 2. Mempelajari cara kerja suatu multiplekser, 3. Membuktikan tabel

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Bab ini membahas tentang teori atau hukum rangkaian elektronika dan teori komponen komponen yang digunakan sebagai alat bantu atau penunjang pada proses analisa Photodioda. Pembahasan

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI

BAB III PERENCANAAN DAN REALISASI BAB III PERENCANAAN DAN REALISASI 3.1 PERANCANGAN UMUM SISTEM Metode untuk pelaksanaan Program dimulai dengan mempelajari system pengukuran tangki air yang akan digunakan. Dari sini dikembangkan apa saja

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC )

Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC ) Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC 410 07) Jl. PUDAK No. 4 Bandung 40113, Jawa Barat-INDONESIA - Phone +62-22-727 2755 (Hunting) Fax. +62-22-720 7252 - E-mail: contact@pudak.com - Website:

Lebih terperinci

TERMOMETER 8 KANAL. Kata-kata kunci: LM35, ADC0808, mikrokontroler AT89S51.

TERMOMETER 8 KANAL. Kata-kata kunci: LM35, ADC0808, mikrokontroler AT89S51. TERMOMETER 8 KANAL Muhammad Andang Novianta Jurusan Teknik Elektro Institut Sains & Teknologi AKPRIND Yogyakarta Kampus ISTA Jl. Kalisahak No. 28 Kompleks Balapan Yogyakarta Telp 02-563029, Fax 02-5638,

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Manajemen Energi Manajemen berasal dari kata "to manage" yang berarti mengatur, mengurus atau mengelola. Banyak definisi yang telah diberikan oleh para ahli terhadap istilah manajemen

Lebih terperinci

BAB II TINJAUAN PUSTAKA. judul Modifikasi Baby Incubator Transport (Monitoring Suhu Skin dan. Kontrol Kelembaban) oleh Wisnu Kusuma Wardana[2].

BAB II TINJAUAN PUSTAKA. judul Modifikasi Baby Incubator Transport (Monitoring Suhu Skin dan. Kontrol Kelembaban) oleh Wisnu Kusuma Wardana[2]. BAB II TINJAUAN PUSTAKA 2.1 Penelitian baby incubator Sebelumnya telah ada penulis lain yang telah memodifikasi alat dengan judul Modifikasi Baby Incubator Transport (Monitoring Suhu Skin dan Kontrol Kelembaban)

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI PLC (Programable Logic Control) adalah kontroler yang dapat diprogram. PLC didesian sebagai alat kontrol dengan banyak jalur input dan output. Pengontrolan dengan menggunakan PLC

Lebih terperinci

BAB III METODOLOGI PENELITIAN

BAB III METODOLOGI PENELITIAN BAB III METODOLOGI PENELITIAN Penjelasan mengenai sistem instrumen alat ukur kelembaban, dapat dilihat dalam bentuk Blok diagram berikut: Power Supply 5Vdc Sensor Kelembaban HCZ-H6 Non Inverting Amplifier

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Perancangan sistem DOT Matrix ini terbagi menjadi tiga bagian, yakni: perancangan perangkat keras serta perancangan perangkat lunak. 3.1. Perancangan Perangkat Keras Sistem yang

Lebih terperinci