DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL

Ukuran: px
Mulai penontonan dengan halaman:

Download "DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL"

Transkripsi

1 DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL Eri Prasetyo Wibowo Fakultas Ilmu Komputer Universitas Gunadarma ABSTRAK Pencacah biner dengan variable input yang telah dirancang akan digunakan untuk mengendalikan decoder dalam pengalamatan jendela matriks pixel sesuai dengan keinginan. Dalam perancangan pencacah ini menggunakan gerbang dasar serta flip-flop jenis D. Untuk menguji rangkaian pencacah, digunakan accusim sebagai alat bantu untuk melakukan simulasi. Kata Kunci : Pencacah, Input, Output, Clock, Reset, Preset, Sekuensial PENDAHULUAN Pencacah atau counter merupakan komponen yang sangat penting dan mendasar didalam elektronika digital, dapat berfungsi diantaranya sebagai pengatur operasi sekuensial, pembagi frekuensi, untuk manipulasi matematik. Gambar 1. Pembacaan matriks baris APS WIDODO, DESAIN PENCACAH 99

2 Dalam paper ini pencacah didesain sebagai pengatur dekoder baris dimana setiap keluaran dari dekoder akan mengaktifkan baris pixel. Aplikasi yang akan digunakan ke depan yaitu untuk menscan atau mengaktifkan pixel pada alamat yang kita kehendaki, bisa kita lihat gambar 1 [Jerome, 2002]. Misal dalam pembacaan pada jendela dengan alamat 0x20 sampai 0x25 pada sumbu x, dan alamat 0x30 sampai 0x35 pada sumbu y. BLOK DIAGRAM DASAR Blok diagram dasar pencacah kita ambil dari jenis 74HC163 [Data sheet, 1990, 1999]. Gambar 2. State Diagram Pencacah Dari gambar 2, state diagram menggambarkan bahwa pencacah akan mulai mencacah dari nilai apapun yang kita kehendaki dan berakhir tergantung pada CET dan CEP seperti terlihat pada gambar 3. Dengan kata lain CET dan CEP berfungsi sebagai pengaktif hitung. Gambar 3. Diagram Fungsi Supaya pencacah menghitung terus maka CET dan CEP harus berada pada kondisi tinggi. CP berfungsi sebagai clock, yaitu akan mengaktifkan semua flip-flop. Kondisi rendah pada paralel enable input ( PE ) merupakan disable pada aksi penghitungan dan menyebabkan data pada input data ( D0 D3) di load ke dalam pencacah pada kondisi positive-going edge pada clock (CP). Kondisi rendah pada master reset input ( MR ) akan menset semua output dari pencacah ke kondisi rendah setelah transisi next positive-going pada clock. 100 JURNAL INFORMATIKA & KOMPUTER NO. 3, JILID 8, 2003

3 Gambar 4. Diagram waktu sekuensial Dari gambar 4 tampak jelas MR melakukan reset, sehingga tampak data output dalam kondisi rendah sampai PE berubah dari kondisi rendah ke tinggi dan saat itu pencacahan dimulai. Pencacahan berakhir ketika CEP berubah dari kondisi tinggi ke rendah. TC berfungsi sebagai tanda pencacah maksimum dalam hal ini 15 dan mulai dari nilai 0 dan seterusnya. Dalam diagram waktu tampak pencacahan dimulai dari 12 dan berakhir pada nilai 2. Rangkaian awal selengkapnya bisa dilihat pada gambar 5. WIDODO, DESAIN PENCACAH 101

4 Gambar 5. Rangkaian pencacah awal RANCANGAN PENCACAH MODIFIKASI Seperti yang sudah dijelaskan pada pendahuluan, kebutuhan akan pencacah yang akan digunakan harus dapat diatur data inputnya sesuai kebutuhan tanpa menyentuh CET maupun CEP dengan kata lain pengaturan jalanya pencacah akan diatur dari clock dan reset serta data input. Dalam kasus ini kita memodifikasi adanya 2 data input, yaitu data input awal dan data input akhir. Blok Diagram Rancangan pencacah yang diinginkan seperti tampak pada gambar 6. Gambar 6. Blok diagram pencacah yang diinginkan Ci, berfungsi sebagai input eksternal untuk diaktifkan oleh pencacah sebelumnya. Untuk aktif maka Ci harus bernilai logika 1. Sedangkan Co berfungsi sebagai output eksternal untuk mengaktifkan Ci pada pencacah berikutnya. 102 JURNAL INFORMATIKA & KOMPUTER NO. 3, JILID 8, 2003

5 Pembanding Data Input Akhir Dengan Data Output Pertama yang kita rancang adalah membandingkan nilai data input akhir dengan data output, jika data input akhir dengan data output belum sama maka pencacah akan mencacah terus sampai nilai data input akhir sama dengan nilai data output. Dengan kata lain jika data input akhir sama dengan data output maka akan memberikan nilai 1 yang akan merubah ke kondisi tinggi pada input CEP sehingga pencacahan berenti. Gambar 7. Logika Pembanding Dari logika pembanding tersebut, kita mengimplementasikan dalam rangkaian dijital seperti tampak pada gambar 8. Gambar 8. Rangkaian pembanding input output Pembanding Co Dengan Ci Hasil pembandingan antara Co dengan Ci digunakan untuk mengantikan fungsi input CEP secara otomatatik. Untuk memenuhi hal ini maka Ci dan Co dihubungkan dengan gerbang ex-nor. DESAIN RANGKAIN DAN SIMULASI WIDODO, DESAIN PENCACAH 103

6 Gambar 9. Rangkaian pencacah dengan variable input Rangkaian didesain dengan menggunakan perangkat lunak Mentor Graphics, didalam mentor graphics ada sub perangkat lunak, diantaranya untuk mendesain rangkain elektronik menggunkan perangkat lunak Design Architecs, untuk mensimulasi dijital menggunakan QuickSIM, untuk simulasi analog menggunakan Accusim, sedangkan untuk membuat layout dari rangkain elektronik menjadi layout bahan untuk diproduksi menjadi bentuk chip digunakan IC-STATION. Gambar 9, merupakan rancangan pencacah yang diinginkan, sedang hasil simulasinya bisa kita lihat pada gambar JURNAL INFORMATIKA & KOMPUTER NO. 3, JILID 8, 2003

7 Gambar 10. Hasil simulasi rangkaian pencacah PENUTUP Telah dijelaskan maksud dan tujuan dalam perancangan pencacah dengan variabel input, yaitu untuk kontrol sekuensial dalam sensor capture CMOS. Untuk ke depan pencacah ini akan dibuat dalam bentuk chip terintegrasi dengan matriks pixel. DAFTAR PUSTAKA Data Sheet, 1990, 74HC/HCT163 Presettable synchronous 4 bit binary counter; Synchronous reset, Philip Semiconductors.,1999, 3.3V CMOS Presettable synchronous 4-bit Binary Counter With Asynchronous Reset, 5 Volt Tolerant I/O, Integrated Device Technology. Jérôme, Goy, 2002, Etude, Conception, et Réalisation d un Capteur d image APS en Technology Standard CMOS Pour des Applications Faible Flux de Type Viseur d étoiles, Thèsis, Institut National Polytechnique de Grenoble. Tran Tien Lang, 1995, Electronique Numerique, Masson, Paris. Serge, Dusausy, 2000, Comprendre L electronique Par La Simulation, Vuibert. WIDODO, DESAIN PENCACAH 105

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL Eri Prasetyo W.* *Staff Pengajar Universitas Gunadarma *Mahasiswa S3 pada Loboratorium E2I(Electronique, Informatique

Lebih terperinci

KONSEP KAMERA CMOS : PIXELS

KONSEP KAMERA CMOS : PIXELS KONSEP KAMERA CMOS : PIXELS Eri Prasetyo W.* *Staff Pengajar Universitas Gunadarma *Mahasiswa S3 pada Loboratorium E2I(Electronique, Informatique et images) Universitas Bourgogne - France Email : prasetyo@u-bourgogne.fr

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

Kuliah#11 TKC-205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC-205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC-205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ ) 1 Tentang Kuliah Membahas

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counter digunakan untuk berbagai operasi

Lebih terperinci

PERCOBAAN 3 FLIP FLOP 1

PERCOBAAN 3 FLIP FLOP 1 PERCOBAAN 3 FLIP FLOP 3.. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Melakukan analisa rangkaian sekuensial dengan SR Flip-flop Mendisain rangkaian sekuensial dengan SR flip-flop

Lebih terperinci

FLIP-FLOP (BISTABIL)

FLIP-FLOP (BISTABIL) FLIP-FLOP (BISTABIL) Rangkaian sekuensial adalah suatu sistem digital yang keadaan keluarannya pada suatu saat ditentukan oleh : 1. keadaan masukannya pada saat itu, dan 2. keadaan masukan dan/atau keluaran

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian SYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian SYNCHRONOUS COUNTER

Lebih terperinci

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter?

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter? BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum melakukan percobaan, ada baiknya kita mempelajari serta memahami setiap percobaan yang akan kita lakukan. Tanpa disadari dalam membuat suatu makalah kita pasti

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EKO/DEL 214/04 Revisi : 03 Tgl : 1 Maret 2012 Hal 1 dari 6 A. Kompetensi Memahami cara kerja rangkaian Flip-Flop D, baik yang berjenis Level Sensitive Clocked D Flip-Flop maupun Edge-Triggered

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EO/DEL 24/5 Revisi : Tgl : 28 Maret 2 Hal dari 9 A. ompetensi Memahami cara kerja rangkaian - F-F B. Sub ompetensi. Memahami cara kerja rangkaian dan sifat-sifat - F-F 2. Memahami cara kerja rangkaian

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA UNIVERSITAS NEGERI YOGYAARTA LAB SHEET TENI DIGITAL Semester 3 FLIP - FLOP 4 X 6 Menit No. LST/EO/DEL 24/5 Revisi : Tgl : 28 Maret 2 Hal dari 8. ompetensi Memahami cara kerja rangkaian - F-F 2. Sub ompetensi

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Register, Counter dan Memori 1 11/9/2016 1 Inti pembelajaran Memahami pengertian Register, Counter dan Memori. Mampu menjelaskan cara kerja Register, Counter

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

Rangkaian Sequensial. Flip-Flop RS

Rangkaian Sequensial. Flip-Flop RS Rangkaian Sequensial Rangkaian logika di kelompokkan dalam 2 kelompok besar, yaitu rangkaian logika kombinasional dan rangkaian logika sekuensial. Bentuk dasar dari rangkaian logika kombinasional adalah

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

PERCOBAAN 6 COUNTER ASINKRON

PERCOBAAN 6 COUNTER ASINKRON PERCOBAAN 6 COUNTER ASINKRON 6.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Rangkaian dasar 3-bit Membuat Timing Diagram Counter Membuat MOD-n Membuat Up-Down 6.2.

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

MATERI RANGKAIAN SEKUENSIAL

MATERI RANGKAIAN SEKUENSIAL MATERI RANGKAIAN SEKUENSIAL 1 Pengertian Logika Sekuensi Logika Sekuensial adalah rangkaian logika yang keadaan outputnya tergantung pada keadaan inputinputnya juga tergantung pada keadaan output sebelumnya.

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

BAB VII DASAR FLIP-FLOP

BAB VII DASAR FLIP-FLOP 89 BAB VII ASAR FLIP-FLOP 1. Pendahuluan Pada bagian sebelumnya telah dibahas tentang rangkaian kombinasional, yang merupakan rangkaian dengan keluaran yang dikendalikan oleh kondisi masukan yang ada.

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

FLIP - FLOP. Kelompok : Angga Surahman Sudibya ( ) Ma mun Fauzi ( ) Mudesti Astuti ( ) Randy Septiawan ( )

FLIP - FLOP. Kelompok : Angga Surahman Sudibya ( ) Ma mun Fauzi ( ) Mudesti Astuti ( ) Randy Septiawan ( ) FLIP - FLOP Kelompok : Angga Surahman Sudibya (10407113) Ma mun Fauzi (10407527) Mudesti Astuti (10407571) Randy Septiawan (10407687) Rahman Rohim (10407679) JURUSAN TEKNIK ELEKTRO UNIVERSITAS GUNADARMA

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

FLIP-FLOP. FF-SR merupakan dasar dari semua rangkaian flip flop. FF-SR disusun dari dua gerbang NAND atau dua gerbang NOR. Gambar Simbol SR Flip-Flop

FLIP-FLOP. FF-SR merupakan dasar dari semua rangkaian flip flop. FF-SR disusun dari dua gerbang NAND atau dua gerbang NOR. Gambar Simbol SR Flip-Flop FLIP-FLOP FLIP-FLOP merupakan suatu rangkaian yang terdiri sdari dua elemen aktif (Transistor) yang erjanya saling bergantian. Fungsinya adalah sebagai berikut: 1. Menyimpan bilangan biner 2. Mencacah

Lebih terperinci

Operasi Counting Q 1 Q 2. Pulsa clock Belum ada pulsa Setelah pulsa # Setelah pulsa # 2

Operasi Counting Q 1 Q 2. Pulsa clock Belum ada pulsa Setelah pulsa # Setelah pulsa # 2 BAB IV. COUNTER TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan prinsip dasar Counter Membuat Counter dasar dengan prinsip sekuensial Membedakan operasi dan karakteristik

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON ORBITH VOL. 13 NO. 2 Juli 2017 : 108 113 APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON Oleh: Lilik Eko Nuryanto Staf Pengajar Jurusan Teknik Elektro Politeknik Negeri Semarang Jl.Prof.

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014 LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 23 / 24 MODUL 4 REGISTER, COUNTER DAN MEMORI OLEH KELOMPOK B ADE ILHAM FAJRI 5358 FRANKY SETIAWAN DALDIRI 5383 KELAS : B ASISTEN PEMBIMBING RISYANGGI AZMI FAIZIN

Lebih terperinci

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock XII. RANGKAIAN LOGIKA SEKUENSIAL SINKRON A. PENDAHULUAN Input R.Kombinasi Onal Pulsa Clock Flip-Flop Output Pulsa Clock B. LATCHES 1. RS FF =Reset Set Flip -Flop =Bistable Simbol RS FF =One Bit Memory

Lebih terperinci

Register & Counter -7-

Register & Counter -7- Sistem Digital Register & Counter -7- Missa Lamsani Hal 1 Register dan Pencacah Register adalah kumpulan elemen-elemen memori yang bekerja bersama sebagai satu unit. Pencacah (counter) adalah merupakan

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO 01.50.0101 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS KATOLIK SOEGIJAPRANATA SEMARANG 2007

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

Kuliah#11 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro

Kuliah#11 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro : : Kuliah#11 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik : Sebelumnya dibahas tentang rangkaian kombinasional yang nilai keluarannya di suatu

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum BAB VII FLIP FLOPS Sejauh ini rangkaian logika yang telah dibahas adalah rangkaian logika kombinatorial yang level-level outputnya pada setiap saat tertentu tergantung kepada level-level yang terdapat

Lebih terperinci

8. TRANSFER DATA. I. Tujuan

8. TRANSFER DATA. I. Tujuan 8. TRANSFER DATA I. Tujuan 1. Membuat rangkaian transfer data seri dan transfer data secara paralel dengan menggunakan IC yang berisi JK-FF dan D-FF. 2. Mengamati operasi transfer data seri dan dan transfer

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

Hanif Fakhrurroja, MT

Hanif Fakhrurroja, MT Pertemuan 4 Organisasi Komputer Rangkaian Logika Hanif Fakhrurroja, MT PIKSI GANESHA, 2013 Hanif Fakhrurroja @hanifoza hanifoza@gmail.com Agenda 1 Rangkaian Kombinasi 2 Rangkaian Sekuensial/flip-flop Pendahuluan

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

KEGIATAN BELAJAR 1 SISTEM KOMPUTER

KEGIATAN BELAJAR 1 SISTEM KOMPUTER KEGIATAN BELAJAR 1 SISTEM KOMPUTER Capaian Pembelajaran Mata Kegiatan Memahami, menerapkan, menganalisis, dan mengevaluasi tentang sistem komputer Sub Capaian Pembelajaran Mata Kegiatan: 1. Memahami sistem

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL )

PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL ) PENYEDIA VOLUME BENDA CAIR DENGAN STEP 150 ml ( WATER LEVEL ) Imam Chaerudin Jurusan Teknik Elektro, Fakultas Teknologi Industri, Universitas Gunadarma, Margonda Raya 100 Depok 16424 telp (021) 78881112,

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

FORMULIR Satuan Acara Pengajaran

FORMULIR Satuan Acara Pengajaran Universitas Bina Darma Formulir : FRM/KUL/01/02 SATUAN ACARA PENGAJARAN MATA KULIAH : ARSITEKTUR KOMPUTER Riwayat Perubahan Dokumen Tanggal Perubahan Revisi No. Halaman Perubahan Dibuat Oleh Diperiksa

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro Elemen : dan Elemen : dan TKC-305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang desain blok rangkaian kombinasional beserta HDLnya.

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH ARSITEKTUR KOMPUTER (TK) KODE / SKS KK /4

SATUAN ACARA PERKULIAHAN MATA KULIAH ARSITEKTUR KOMPUTER (TK) KODE / SKS KK /4 SATUAN ACARA PERKULIAHAN MATA KULIAH ARSITEKTUR KOMPUTER (TK) KODE / SKS KK-014412/4 Minggu ke Pokok Bahasan dan TIU Sub Pokok Bahasan dan Sasaran Belajar Cara Pengajaran 1 Arsitektur Komputer Perspektif

Lebih terperinci

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A Arief Hendra Saptadi Jurusan Teknik Elektro Fakultas Teknik Universitas Muhammadiyah Semarang Jl. Kasipah no 10-12 Semarang

Lebih terperinci

7.1. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF.

7.1. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. PERCOBAAN DIGITAL 7 PENCACAH (COUNTER) 7.. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. 7.2. TEORI DASAR Pencacah

Lebih terperinci

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro ,, TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah, Sebelumnya dibahas elemen rangkaian sekuensial berupa flip-flop dan latch yang mampu menyimpan informasi

Lebih terperinci

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC Veronica Ernita K. 1), Erma Triawati Ch 2) 1,2,3) Jurusan Teknik Elektro Universitas Gunadarma Jl. Margonda Raya No. 100, Depok 16424, Jawa Barat, Indonesia

Lebih terperinci

BAB II TEORI DASAR 2.1 Pendahuluan 2.2 Sensor Clamp Putaran Mesin

BAB II TEORI DASAR 2.1 Pendahuluan 2.2 Sensor Clamp Putaran Mesin 4 BAB II TEORI DASAR 2.1 Pendahuluan Pada bab ini akan dijelaskan mengenai teori-teori mengenai perangkatperangkat pendukung baik perangkat keras dan perangkat lunak yang akan dipergunakan sebagai pengukuran

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG BANDAR LAMPUNG 2013 Flip-flop T (T FF) Gambar 1.

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 36 BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Rangkaian Perancangan sistem traffic light pada empat persimpangan pada jalan raya ini menggunakan Arduino uno, yang berfungsi untuk mengontrol atau memonitor

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Percobaan 5 FLIP-FLOP (MULTIVIBRATOR BISTABIL) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 5 FLIP-FLOP (MULTIVIBRATOR BISTABIL) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 5 FLIP-FLOP (MULTIVIBRATOR BISTABIL) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mempelajari cara kerja berbagai rangkaian flip flop 2. Membuat rangkaian

Lebih terperinci

PERCOBAAN 2. FLIP-FLOP

PERCOBAAN 2. FLIP-FLOP PECOBAAN 2. FLIP-FLOP 2.. UUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membedakan sifat dasar S-FF dengan dan tanpa clock Membuat rangkaian Master Slave K-FF Menggunakan input-input

Lebih terperinci

Kuliah#13 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#13 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#13 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ @2017,Eko Didik 1 Pengantar

Lebih terperinci

RANGKAIAN MULTIPLEXER

RANGKAIAN MULTIPLEXER RANGKAIAN MULTIPLEXER RANGKAIAN DEMULTIPLEXER HALAMAN SAMPUL Penulis : - Editor materi : Ulfathul Muslimah Editor Bahasa : - Ilustrasi sampul : - Desain dan ilustrasi buku : - Hak cipta 2016, oleh Ulfathul

Lebih terperinci

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Volume 10 No 1, April 2017 Hlm. 44-50 ISSN 0216-9495 (Print) ISSN 2502-5325 (Online) Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Teguh Arifianto Program Studi Teknik

Lebih terperinci

DAFTAR ISI. 1.1 Latar Belakang Masalah Rumusan Masalah Maksud dan Tujuan Batasan Masalah Manfaat Penelitian 3

DAFTAR ISI. 1.1 Latar Belakang Masalah Rumusan Masalah Maksud dan Tujuan Batasan Masalah Manfaat Penelitian 3 DAFTAR ISI Halam an HALAMAN JUDUL HALAMAN PENGESAHAN HALAMAN PENGESAHAN PENGUJI HALAMAN PERSEMBAHAN HALAMAN MOTTO KATA PENGANTAR ABSTRAKSI DAFTAR ISI DAFTAR GAMBAR DAFTAR TABEL LAMPIRAN i ii iii iv v vi

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

PENCACAH (COUNTER) DAN REGISTER

PENCACAH (COUNTER) DAN REGISTER PENCACAH (COUNTER) DAN REGISTER Aplikasi flip-flop yang paling luas pemakaiannya adalah sebagai komponen pembangun pencacah dan register. Pencacah termasuk dalam kelompok rangkaian sekuensial yang merupakan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

RANGKAIAN SEKUENSIAL

RANGKAIAN SEKUENSIAL RANGKAIAN SEKUENSIAL Rangkaian Sekuensial Elemen Penyimpan dan Statenya Rangkaian yang nilai keluarannya tidak hanya tergantung dari masukan saat ini, juga dari nilai keluaran sebelumnya Rangkaian mempunyai

Lebih terperinci

TUGAS 1 KARAKTERISTIK GERBANG LOGIKA 1

TUGAS 1 KARAKTERISTIK GERBANG LOGIKA 1 TUGS RTERISTI GERNG LOGI uat rangkaian-rangkaian berikut ini, jalankan, dan amati yang terjadi pada lampu ketika posisi saklar diubah-ubah : (a) (b) (c) (d) (e) (f) Rangkaian boleh dibuat dalam satu file,

Lebih terperinci

= = = T R = sifat memori. 2. Monostable. Rangkaian. jadi. C perlahan naik. g muatan. pulsa. Lab Elektronika. terjadi di. Industri. Iwan.

= = = T R = sifat memori. 2. Monostable. Rangkaian. jadi. C perlahan naik. g muatan. pulsa. Lab Elektronika. terjadi di. Industri. Iwan. RANGKAIAN SEKUENSIAL Rangkaian digital jenis sekuensial sangat berbeda dengan jenis kombinatorial. Rangkaian kombinatorial terdiri dari kombinasi gerbang-gerbang dan mempunyai sifat khas yaitu bahwa output

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC)

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC) ADC (Analog To Digital Converter) adalah perangkat elektronika yang berfungsi untuk mengubah sinyal analog (sinyal kontinyu) menjadi sinyal digital. Perangkat ADC (Analog To Digital Convertion) dapat berbentuk

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN OUNTER 8.1 Register Dalam elektronika digital seringkali diperlukan penyimpan data sementara sebelum data diolah lebih lanjut. Elemen penyimpan dasar adalah flip-flop. Setiap flip-flop

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci