Trend era Digital. Sistem yang semakin kompleks. Menghasilkan Desain yang komplek Waktu desain yang cepat untuk memenuhi kebutuhan pasar

Ukuran: px
Mulai penontonan dengan halaman:

Download "Trend era Digital. Sistem yang semakin kompleks. Menghasilkan Desain yang komplek Waktu desain yang cepat untuk memenuhi kebutuhan pasar"

Transkripsi

1

2 Trend era Digital Sistem yang semakin kompleks Digital & Analog IC (Mixed Signal) Hardware & Software design SoC, SoPC Menghasilkan Desain yang komplek Waktu desain yang cepat untuk memenuhi kebutuhan pasar 2

3 Sistem Terinegrasi 3

4 SoP System-on-Package (SoP) / System-in- Package (SiP) 4

5 Mengapa perlu HW+SW Hardware Cepat Mahal Software Fleksibel Lebih lambat Hardware + Software? 5

6 Kamera Digital 6

7 Macem-macem metode penyusunan rangkaian digital IC digital diskret Programmable logic: SPLD CPLD FPGA ASIC

8 IC digital Diskret IC kegunaan umum, banyak terdapat di pasaran satu IC hanya mewakili satu fungsi, contoh: IC NAND 7400 IC BCD counter 7490 dll

9 Logika Digital Digital Logic Function 3 Inputs Product AND (&) Sum OR ( ) Black Box Truth Table SUM of PRODUCTS Boolean Logic Minimisation Connect Standard Logic Chips Very Simple Glue Logic FIXED Logic Transistor Switches

10 Kebutuhan suatu rangkaian digital yang programmable Penyusunan rangkaian digital kompleks menggunakan IC digital diskret butuh banyak IC IC digital diskret kurang fleksibel harus merangkai hardware engineer digital ingin membuat suatu rangkaian digital yang bisa dimodif via software

11 Rangkaian Digital Programmable Suatu Piranti (IC) berisi gerbang-gerbang digital dan flip flop, yang interkoneksi antar gerbangnya bisa diprogram via software Macam-macamnya: SPLD CPLD FPGA

12 SPLD Simple programmable logic device Ukuran kecil Hanya terdiri dari dua jenis gate (AND dan OR), jumlahnya tergantung seri IC nya Ada 2 jenis: PLA (Programmable Logic Array) PAL (Programmable Array Logic) (PAL & PLA, nampak sama tetapi sedikit beda)

13 PLA (programmable logic array) Programmable planes *Hanya bisa diprogram sekali

14 PAL (programmable array logic) Programmable plane *Bisa diprogram berkali-kali

15 PLD - Sum of Products Array input gerbang AND diikuti gerbang OR A B C Programmable switch or fuse f 1 = A B C + A B C f 2 = A B + A B C AND plane 15

16 PLD - Macrocell Array input gerbang AND diikuti gerbang OR dan flipflop A B C Select Enable f 1 Flip-flop D Q MUX Clock AND plane 16

17 CPLD Complex programmable logic device Extend the density of SPLD CPLD seperti SPLD tetapi mempunyai banyak gate, ditambah dengan flip flop Terdiri dari lapisan interconnect, gates, dan flip flop Bisa diprogram berkali-kali, electrically erasable & programmable

18 Complex PLDs CPLDs Programmable PLD Blocks Programmable Interconnects Electrically Erasable links CPLD Architecture Feedback Outputs

19 CPLD

20 CPLD Structure Integration of several PLD blocks with a programmable interconnect on a single chip I/O Block I PLD Block PLD Block I/O Block Interconnection Matrix I/O Block PLD Block PLD Block I/O Block 20

21 CPLD Families

22 CPLD Example Altera MAX7000 EPM7000 Series Block Diagram 22

23 CPLD Example Altera MAX7000 EPM7000 Series Device Macrocell 23

24 Pemrograman PLD Memprogram SPLD dan CPLD berarti memprogram interkoneksi/plane Pada perpotongan jalur input dengan jalur gate terdapat suatu fuse yang menghubungkan input dengan jalur gate Membuat suatu koneksi berarti membiarkan fuse terhubung, sedangkan memutus suatu koneksi berarti menghilangkan fuse

25 ASIC Application specific integrated circuit IC yang hanya digunakan untuk keperluan tertentu Biasanya designer IC langsung memesan ASIC ke pabrik IC Kompleksitas rangkaian dalam IC cukup tinggi, jadi tidak muat pada CPLD Dibuat dalam jumlah yang terbatas Sangat mahal

26 Full-Custom ASIC layout-based the designer draws each polygon by hand More compact design but longer design time only for analogue and high(est) volumes 26

27 Cell-Based ASIC used predefined building blocks ( cells ) designer creates a schematic that interconnects these cells layout = placement & interconnection of cells for functionality or time-to market driven design 27

28 FPGA Field programmable gate array Kapasitas sangat besar Menggunakan blok RAM FPGA untuk konfigurasi interkoneksi Karena memakai RAM, maka interkoneksi hilang jika powernya mati Fungsi FPGA adalah untuk prototype sebelum dijadikan ASIC

29 What is an FPGA? An FPGA (Field Programmable Gate Array) is a reprogrammable chip which contains hundreds of thousands of logic gates that internally connects together to build complex digital circuitry. 1/5/

30 Benefits FPGA s Real-time analysis of high-rate data streams (Performance) Deterministic hardware dedicated to every task (Reliability) Nonrecurring engineering expenses (Reconfigurability ) Radiation Hardened and Program Integrity. (Durability) Flexible and rapid prototyping (Development)

31 Field Programmable Gate Arrays (FPGAs)

32 FPGA Types (Anti-fuse technology)

33 FPGA Families

34 SRAM-type FPGA Interconnect Architecture Diamond switch Vertical routing channels Horizontal routing (interconnect) channel PSM: Programmable Switch Matrix (for making connections between interconnects of different channels). The structure shown only allows i-to-i connections CLB: Configuration Logic Block (programmable logic cell)

35 SRAM-type FPGA Interconnect Architecture (contd) Cell Connection Matrix (CCM) PSM

36 Arsitektur FPGA

37 Gate Array Each chip is prefabricated with an array of identical gates or cells. The chip is customized by fabricating routing layers on top. Time to market, cost 37

38 Field programmable gate array Chips are prefabricated with logic blocks and interconnects. Logic and interconnects can be programmed (erased and reprogrammed) by users. No fabrication is needed. Cost efficient for medium complexity (< 1M gates) designs 38

39 FPGA - Generic Structure FPGA building blocks: Programmable logic blocks Implement combinatorial and sequential logic Programmable interconnect Wires to connect inputs and outputs to logic blocks Programmable I/O blocks Special logic blocks at the periphery of device for external connections I/O Logic block Interconnection switches I/O I/O I/O 39

40 Other FPGA Building Blocks Clock distribution Embedded memory blocks Special purpose blocks: DSP blocks: Hardware multipliers, adders and registers Embedded microprocessors/microcontrollers High-speed serial transceivers 40

41 FPGA Basic Logic Element LUT to implement combinatorial logic Register for sequential circuits Additional logic (not shown): Carry logic for arithmetic functions Expansion logic for functions requiring more than 4 inputs Select Out A B C LUT D Q D Clock 41

42 Look-Up Tables (LUT) Look-up table with N-inputs can be used to implement any combinatorial function of N inputs LUT is programmed with the truth-table A B C D LUT Z LUT implementation A B C D Z Truth-table Gate implementation 42

43 LUT Implementation Example: 3-input X1 X2 LUT 0/1 Configuration memory 0/1 cells 0/1 Based on multiplexers (pass transistors) LUT entries stored in configuration memory cells 0/1 0/1 0/1 0/1 0/1 F X3 43

44 Programmable Interconnect Interconnect hierarchy (not shown) Fast local interconnect Horizontal and vertical lines of various lengths LE LE LE Switch Matrix Switch Matrix LE LE LE 44

45 Switch Matrix Operation After Programming Before Programming 6 pass transistors per switch matrix interconnect point Pass transistors act as programmable switches Pass transistor gates are driven by configuration memory cells 45

46 Special Features Clock management PLL,DLL Eliminate clock skew between external clock input and on-chip clock Low-skew global clock distribution network Support for various interface standards High-speed serial I/Os Embedded processor cores DSP blocks 46

47 Configuration Storage Elements Static Random Access Memory (SRAM) each switch is a pass transistor controlled by the state of an SRAM bit FPGA needs to be configured at power-on Flash Erasable Programmable ROM (Flash) each switch is a floating-gate transistor that can be turned off by injecting charge onto its gate. FPGA itself holds the program reprogrammable, even in-circuit Fusible Links ( Antifuse ) Forms a forms a low resistance path when electrically programmed one-time programmable in special programming machine radiation tolerant 47

48 FPGA Vendors & Device Families Xilinx Virtex-II/Virtex-4: Featurepacked high-performance SRAM-based FPGA Spartan 3: low-cost feature reduced version CoolRunner: CPLDs Altera Stratix/Stratix-II High-performance SRAM-based FPGAs Cyclone/Cyclone-II Low-cost feature reduced version for cost-critical applications MAX3000/7000 CPLDs MAX-II: Flash-based FPGA Actel Anti-fuse based FPGAs Radiation tolerant Flash-based FPGAs Lattice Flash-based FPGAs CPLDs (EEPROM) QuickLogic ViaLink-based FPGAs 48

49 State of the Art in FPGAs Xilinx s top of the line FPGA 65nm process technology 550MHz RAM blocks 6-input LUTs Serial connectivity Ethernet MACs Rocket I/O serial 6.5 GBps PCI Express endpoint Enhanced DSP blocks (25x18-bit MAC) 1760 pin BGA with 1200 I/O EasyPath 49

50 FPGA Design Flow Xilinx Design Flow 50

51 Macam FPGA berdasar pemrogramannya

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582 ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH Hendra Setiawan 1*, Fahmi Nugraha 1 1 Program Studi Teknik Elektro, Fakultas Teknologi Industri, Universitas Islam Indonesia Jl. Kaliurang km.14.5,

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY Ferry Wahyu Wibowo Dosen STMIK AMIKOM Yogyakarta ferrywahyu@gmail.com Abstrak Telah dibuat rangkaian yang berfungsi

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

BAB 2. Landasan Teori

BAB 2. Landasan Teori BAB 2 Landasan Teori 2. Teori-Teori Dasar/Umum Dalam rangkaian digital, terdapat tiga macam elemen yang digunakan, diantaranya adalah: Memory, Microprocessor, dan Perangkat Logika. Memory merupakan perangkat

Lebih terperinci

Pertemuan 10 MEMORI INTERNAL

Pertemuan 10 MEMORI INTERNAL Pertemuan 10 MEMORI INTERNAL I. Pengertian Memori internal adalah memori yang dapat diakses langsung oleh prosesor. Fungsi dari memori utama adalah: Menyimpan data yang berasal dari peranti masukan sampai

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER

ARSITEKTUR DAN ORGANISASI KOMPUTER ARSITEKTUR DAN ORGANISASI KOMPUTER PART 2: THE SYSTEM CHAPTER 3 A TOP-LEVEL VIEW PRIO HANDOKO, S.KOM., M.T.I. CHAPTER 3 A TOP-LEVEL VIEW Kompetensi Dasar 1. Memahami struktur interkoneksi pada memori,

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang BAB X MEMORY Capaian Pembelajaran Setelah mempelajari materi ini, mahasiswa akan mampu : Menjelaskan pengertian penyimpan (memory) data digital. Menjelaskan pengertian dan perbedaan sistem memory RAM dan

Lebih terperinci

Pertemuan 4. Memori Internal

Pertemuan 4. Memori Internal Arsitektur Komputer Pertemuan 4 Memori Internal 2 Hirarki Memori Register Cache Main Memory Disc Cache Magnetic Disc Magnetic Tape Optical Disc 3 Karakteristik Hirarki Memori Semakin Kebawah maka segitiga

Lebih terperinci

Interfacing i8088 dengan Memori

Interfacing i8088 dengan Memori Interfacing i8088 dengan Memori Memori harus tersedia pada suatu sistem mikroprosesor, baik untuk menyimpan program maupun untuk menyimpan data. Tergantung dari kebutuhan, memori yg dapat digunakan oleh

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2228 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA VLSI LAYOUT DESIGN FOR INSTRUCTION SET

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 --

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 -- Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 -- This presentation is revised by @hazlindaaziz, STMIK, 2014 Acknowledgement Main Material: Stallings,

Lebih terperinci

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3.

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. CPU Architecture OLEH : DANNY KURNIANTO,S.T.,M.Eng. SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

Memori Internal. Pertemuan 4. Hirarki Memori 4/2/2014. ArsitekturKomputer DisusunOleh: Rini Agustina,S.Kom,M.Pd Dariberbagaisumber.

Memori Internal. Pertemuan 4. Hirarki Memori 4/2/2014. ArsitekturKomputer DisusunOleh: Rini Agustina,S.Kom,M.Pd Dariberbagaisumber. Pertemuan 4 ArsitekturKomputer DisusunOleh: Rini Agustina,S.Kom,M.Pd Dariberbagaisumber Hirarki Memori R e g i s t e r C a c h e M a i n M e m o r y D i s c C a c h e M a g n e t i c D i s c M a g n e

Lebih terperinci

START FROM HERE: 4-2. PTKI A Week 04 - Memory.

START FROM HERE: 4-2. PTKI A Week 04 - Memory. WEEK 04: MEMORY START FROM HERE: Komputer dinyalakan Komputer memuat (Load) data dari read-only memory (ROM) dan melakukan POST (Power On Self Test) untuk memastikan semua komponen utama berfungsi dengan

Lebih terperinci

UNIT MEMORI DALAM SISTEM MIKROPROSESOR

UNIT MEMORI DALAM SISTEM MIKROPROSESOR 44 SISTEM MIKROPROSESOR dan MIKROKONTROLER B A B 3 UNIT MEMORI DALAM SISTEM MIKROPROSESOR Sistem mikroprosesor adalah sistem yang bekerja berdasarkan program. Program disimpan dalam sebuah unit yang disebut

Lebih terperinci

FPGA DAN VHDL. Teori, Antarmuka dan Aplikasi

FPGA DAN VHDL. Teori, Antarmuka dan Aplikasi FPGA DAN VHDL Teori, Antarmuka dan Aplikasi UU No 19 Tahun 2002 Tentang Hak Cipta Fungsi dan Sifat hak Cipta Pasal 2 1. Hak Cipta merupakan hak eksklusif bagi pencipta atau pemegang Hak Cipta untuk mengumumkan

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

II.4 Keypad II.5 LCD II.6 Pengenalan Perangkat Lunak Visual Basic Pada PC (Server) II.6.1 Integrated Development Environment...

II.4 Keypad II.5 LCD II.6 Pengenalan Perangkat Lunak Visual Basic Pada PC (Server) II.6.1 Integrated Development Environment... ABSTRAK Perkembangan era informasi saat ini, menjadikan komputerisasi sebagai suatu standar untuk mempermudah sistem akuisisi data pada pabrik pengekspor udang. Untuk mengakuisisi data penimbangan setiap

Lebih terperinci

Bab 3 PLC s Hardware

Bab 3 PLC s Hardware Bab 3 PLC s Hardware Sasaran Mahasiswa mampu : o Memahami definisi PLC o Menyebutkan jenis jenis PLC o Menyebutkan bagian bagian hardware PLC o Menjelaskan prinsip kerja bagian bagian hardware PLC 3.1

Lebih terperinci

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler BAB II PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F005 2.1 Pengenalan Mikrokontroler Mikroprosesor adalah sebuah proses komputer pada sebuah IC (Intergrated Circuit) yang di dalamnya terdapat aritmatika,

Lebih terperinci

Analysis And Design of Digital System

Analysis And Design of Digital System Analysis And Design of Digital System Introduction Synchronous and Asynchronous Operation (1) Synchronous sequential circuits change their states and output values at discrete instants of time, which are

Lebih terperinci

BAB 03 Bus & Sistem Interkoneksi

BAB 03 Bus & Sistem Interkoneksi BAB 03 Bus & Sistem Interkoneksi BUS SISTEM Definisi: BUS adalah sarana pengangkut / saluran yang terdapat didalam suatu microprocessor (CPU) yang menghubungkan antara Microprocessor tersebut dengan dunia

Lebih terperinci

MODUL I Pengenalan Teknologi FPGA

MODUL I Pengenalan Teknologi FPGA MODUL I Pengenalan Teknologi FPGA I. Tujuan Pada Percobaan ini praktikan akan dikenalkan dengan salah satu teknologi IC VLSI yaitu Teknologi FPGA (Field Programmable gate Array) disini praktikan akan mempelajari

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

Sistem Memori. Flip-flop: memori 1-bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB. 4-bit LSB. Flip-flop.

Sistem Memori. Flip-flop: memori 1-bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB. 4-bit LSB. Flip-flop. Sistem Memori Flip-flop: memori -bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB LSB MSB 4-bit LSB 2 Flip-flop Register m n Memori m x n Memori ROM (Read Only Memory)

Lebih terperinci

BAB 2 LANDASAN TEORI. antara lain: Memory, Microprocessor, dan perangkat logika. Memory merupakan

BAB 2 LANDASAN TEORI. antara lain: Memory, Microprocessor, dan perangkat logika. Memory merupakan BAB 2 LANDASAN TEORI 2.1 Programmable Logic Device (PLD) Dalam rangkaian digital, terdapat tiga macam elemen yang digunakan, antara lain: Memory, Microprocessor, dan perangkat logika. Memory merupakan

Lebih terperinci

IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori. Bobby Nazief Johny Moningka

IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori. Bobby Nazief Johny Moningka IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori Bobby Nazief (nazief@cs.ui.ac.id) Johny Moningka (moningka@cs.ui.ac.id) 1 Agenda Review teknologi memori Static RAM (SRAM) Dynamic RAM (DRAM)

Lebih terperinci

Sistem Tertanam. Pengantar Atmega328 dan Arduino Uno. Dennis Christie - Universitas Gunadarma

Sistem Tertanam. Pengantar Atmega328 dan Arduino Uno. Dennis Christie - Universitas Gunadarma Sistem Tertanam Pengantar Atmega328 dan Arduino Uno 1 Arsitektur Atmega328 Prosesor atau mikroprosesor adalah suatu perangkat digital berupa Chip atau IC (Integrated Circuit) yang digunakan untuk memproses

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori. Bobby Nazief Johny Moningka

IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori. Bobby Nazief Johny Moningka IKI20210 Pengantar Organisasi Komputer Kuliah no. 6b: Memori Bobby Nazief (nazief@cs.ui.ac.id) Johny Moningka (moningka@cs.ui.ac.id) 1 Agenda Review teknologi memori Static RAM (SRAM) Dynamic RAM (DRAM)

Lebih terperinci

Diktat Kuliah Memory Hardware

Diktat Kuliah Memory Hardware Mikroprosesor dan Antarmuka Diktat Kuliah Memory Hardware Nyoman Bogi Aditya Karna Sisfo IMTelkom bogi@imtelkom.ac.id http://bogi.blog.imtelkom.ac.id Institut Manajemen Telkom http://www.imtelkom.ac.id

Lebih terperinci

Memori Utama. (Pertemuan ke-4) Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom. Diedit ulang oleh: Endro Ariyanto

Memori Utama. (Pertemuan ke-4) Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom. Diedit ulang oleh: Endro Ariyanto Memori Utama (Pertemuan ke-4) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Hirarki Memori Registers L1 Cache L2 Cache Main memory (RAM)

Lebih terperinci

PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY

PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY E-mail : sumarna@uny.ac.id A. Utama Sistem Mikrokomputer Gambar berikut menunjukkan 5 (lima) unit utama dalam

Lebih terperinci

HUBUNGAN PIN MEMORI Hubungan Pin yang umum untuk semua peranti memori adalah :

HUBUNGAN PIN MEMORI Hubungan Pin yang umum untuk semua peranti memori adalah : PI R A N T I M E M O R I HUBUNGAN PIN MEMORI Hubungan Pin yang umum untuk semua peranti memori adalah : 1. Hubungan Alamat Semua peranti memori memiliki n input alamat yang memilih satu dari 2 n lokasi

Lebih terperinci

LOGO. Mengenal Memory

LOGO. Mengenal Memory LOGO Mengenal Memory Memory?????? Memori adalah istilah generik bagi tempat penyimpanan data dalam komputer. Memori adalah tempat menyimpan data selama dan sebelum data diproses ke processor. Karakteristik

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

P11 BUS Universitas Mercu Buana Yogyakarta

P11 BUS Universitas Mercu Buana Yogyakarta P11 BUS Universitas Mercu Buana Yogyakarta A. Sidiq P. Buses Sampai saat ini terjadi perkembangan struktur interkoneksi, namun yang banyak digunakan saat ini adalah sistem bus. Single and multiple BUS

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai

Lebih terperinci

Sistem Mikroprosesor

Sistem Mikroprosesor Sistem Mikroprosesor Enrollment Key: Sistem Mikroprosesor Kelas B: SM492SKB Sistem Mikroprosesor Kelas C: SM570SKC 1/total MIKROKOMPUTER Perkembangan Mikrokomputer 2/total Outline Perkembangan Mikroprosesor

Lebih terperinci

DASAR KOMPUTER. Memory

DASAR KOMPUTER. Memory DASAR KOMPUTER Memory Overview Point pertimbangan Hirarki Memory RAM & ROM Error Detection Memori.??? Point Pertimbangan Lokasi memori Memory prosesor Internal (main memory) Eksternal (Secondary memory)

Lebih terperinci

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan PENGENALAN SISTEM MIKROPROSESOR Outline : Mikroprosesor ROM RAM Piranti I/O Piranti Input Tidak "programable Piranti Output Tidak "programable Programable I/O Decoder sistem mikroprosesor berdasarkan piranti-piranti

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat BAB 3 PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat pengukur tinggi bensin pada reservoir SPBU. Dalam membuat suatu sistem harus dilakukan analisa mengenai

Lebih terperinci

Pengantar Memori dan Memori Internal

Pengantar Memori dan Memori Internal Arus Data dalam Komputer Pengantar Memori dan Media Penyimpan DMA Modul I/O Perangkat Eksternal Bagaimana program dijalankan Bagaimana program dijalankan Sistem Operasi - instruksi bhs assembly (mesin)

Lebih terperinci

MICROCONTROLER AVR AT MEGA 8535

MICROCONTROLER AVR AT MEGA 8535 MICROCONTROLER AVR AT MEGA 8535 Dwisnanto Putro, S.T., M.Eng. MIKROKONTROLER AVR Jenis Mikrokontroler AVR dan spesifikasinya Flash adalah suatu jenis Read Only Memory yang biasanya diisi dengan program

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

ABSTRAK. Nama : Yudhi Asmara Program Studi : Teknik Elektro Judul : Implementasi dan Evaluasi Kinerja Sistem Kontrol Berbasis Embedded Web

ABSTRAK. Nama : Yudhi Asmara Program Studi : Teknik Elektro Judul : Implementasi dan Evaluasi Kinerja Sistem Kontrol Berbasis Embedded Web ABSTRAK Nama : Yudhi Asmara Program Studi : Teknik Elektro Judul : Implementasi dan Evaluasi Kinerja Sistem Kontrol Berbasis Embedded Web Seiring dengan perkembangan teknologi mikroprocessor, kinerja dari

Lebih terperinci

BABI PENDAHULUAN 1.1 Latar Belakang

BABI PENDAHULUAN 1.1 Latar Belakang BABI PENDAHULUAN 1.1 Latar Belakang Saat ini transformasi wavelet banyak sekali digunakan dan bermanfaat untuk analisis numerik, analisis isyarat, aplikasi kontrol dan aplikasi audio [1]. Dalam analisis

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC Okthavianus Bayu Pamungkas *), Munawar Agus Riyadi, and Maman Somantri Departemen

Lebih terperinci

Kuliah#12 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#12 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#12 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ @2017,Eko Didik 1 Pengantar

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

BAB 7. PLC = Programmable Logic Controller

BAB 7. PLC = Programmable Logic Controller DIKTAT KULIAH Elektronika Industri & Otomasi (IE-204) BAB 7. PLC = Programmable Logic Controller Diktat ini digunakan bagi mahasiswa Jurusan Teknik Industri Fakultas Teknik Universitas Kristen Maranatha

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TSK304 - Teknik Interface dan Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Dasar-dasar elektronik dan kebutuhan desain mikroprosesor Interkoneksi CPU, memori

Lebih terperinci

Operasi Unit Kontrol. Organisasi Komputer II. STMIK AUB Surakarta

Operasi Unit Kontrol. Organisasi Komputer II. STMIK AUB Surakarta Operasi Unit Kontrol Organisasi Komputer II STMIK AUB Surakarta Micro-Operations Fungsi sebuah komputer adalah mengeksekusi program. Siklus Fetch/execute selalu terjadi Tiap siklus memiliki sejumlah langkah

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

ABSTRAK. tag atau card. Teknologi RFID itu sendiri terfokus pada identifikasi sebuah object

ABSTRAK. tag atau card. Teknologi RFID itu sendiri terfokus pada identifikasi sebuah object ABSTRAK RFID adalah teknologi yang digunakan untuk membaca data pada RFID tag atau card. Teknologi RFID itu sendiri terfokus pada identifikasi sebuah object melalui rangkaian kode yang hanya dapat dibaca

Lebih terperinci

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE Engelin SJ Pengaruh Sifat Inversi. PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE (CMOS) STATIK 4-BIT Engelin Shintadewi Julian 1) 1) Department of Electrical Engineering,

Lebih terperinci

PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC

PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC Brama Yoga Satria *), Munawar Agus Riyadi, and Muhammad Arfan Departemen Teknik Elektro, Universitas

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

Organisasi & Arsitektur Komputer

Organisasi & Arsitektur Komputer Organisasi & Arsitektur Komputer 1 Memori Eko Budi Setiawan, S.Kom., M.T. Eko Budi Setiawan mail@ekobudisetiawan.com www.ekobudisetiawan.com Teknik Informatika - UNIKOM 2013 Memori 2 Pengertian Memori

Lebih terperinci

Organisasi dan Arsitektur Komputer : Perancangan Kinerja. Chapter 4 Memori Internal - RAM. (William Stallings) Abdul Rouf - 1

Organisasi dan Arsitektur Komputer : Perancangan Kinerja. Chapter 4 Memori Internal - RAM. (William Stallings) Abdul Rouf - 1 Organisasi dan Arsitektur Komputer : Perancangan Kinerja (William Stallings) Chapter 4 Memori Internal - RAM Abdul Rouf - 1 Karakteristik Memori Lokasi Kapasitas Unit transfer Metode Akses Kinerja Jenis

Lebih terperinci

Arsitektur dan Organisasi Komputer. Pendahuluan. Materi Kuliah. Pendahuluan. Komputer. Mengapa belajar kuliah ini. Selamat Datang 23/06/2015

Arsitektur dan Organisasi Komputer. Pendahuluan. Materi Kuliah. Pendahuluan. Komputer. Mengapa belajar kuliah ini. Selamat Datang 23/06/2015 Arsitektur dan Organisasi Komputer Selamat Datang Agus Haryawan Materi Kuliah Teknologi komputer Arsitektur Komputer Bahasa assembly Processor design Data path dan kontrol Pipeline Memori dan hirarki emori

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

Pertemuan PLC s Hardware. Handy Wicaksono Jurusan Teknik Elektro Universitas Kristen Petra

Pertemuan PLC s Hardware. Handy Wicaksono Jurusan Teknik Elektro Universitas Kristen Petra Pertemuan 3 3. PLC s Hardware Handy Wicaksono Jurusan Teknik Elektro Universitas Kristen Petra Sasaran Mahasiswa mampu : Memahami definisi PLC Menyebutkan jenis jenis PLC Menyebutkan bagian bagian hardware

Lebih terperinci

MIKROPENGENDALI C TEMU 2b AVR ARCHITECTURE. Oleh : Danny Kurnianto,S.T.,M.Eng Sekolah Tinggi Teknologi Telematika Telkom

MIKROPENGENDALI C TEMU 2b AVR ARCHITECTURE. Oleh : Danny Kurnianto,S.T.,M.Eng Sekolah Tinggi Teknologi Telematika Telkom MIKROPENGENDALI C TEMU 2b AVR ARCHITECTURE Oleh : Danny Kurnianto,S.T.,M.Eng Sekolah Tinggi Teknologi Telematika Telkom SECTION 1. The Feature of AVR Prosesor Family On-chip and In System Programmable

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci