berkapasitas besar mengakibatkan orang tidak masalah jika mempunyai data yang berukuran lebih besar. apabila data tersebut adalah gambar. maka dengan

Ukuran: px
Mulai penontonan dengan halaman:

Download "berkapasitas besar mengakibatkan orang tidak masalah jika mempunyai data yang berukuran lebih besar. apabila data tersebut adalah gambar. maka dengan"

Transkripsi

1 DESAIN SISTEM MEMORI UNTUK PENYIMPANAN NILAI-NILAI MATRIKS QDCT PADA PROSES KOMPRESI JPEG. Drs. Lingga Hermanto, MM,. MMSI., 1 Tommy Kurniardi 2 1. Dosen Universitas Gunadarma 2. Mahasiswa Universitas Gunadarma Fakultas Ilmu Komputer dan Teknologi Informasi Universitas Gunadarma Abstraksi Kompresi citra merupakan proses untuk meminimalkan jumlah bit yang merepresentasikan suatu citra sehingga ukuran citra menjadi lebih kecil. Permasalahan utama yang dihadapi adalah besarnya jumlah data dan juga waktu tunggu yang relatif lama. Semakin tinggi resolusi citra akan mengakibatkan memori penyimpanan semakin besar. Salah satu solusi yang dilakukan adalah meminimalkan penggunaan memori dan pengembangan algoritma dan metode yang mampu mengkompres data multimedia sekecil mungkin dengan tetap menjaga kualitas informasi. Proses kuantisasi bertujuan untuk mengurangi jumlah bit yang digunakan untuk pengkodean informasi yang terkandung dalam Matriks DCT. Proses QDCT merupakan gabungan dari proses DCT dan kuantisasi. Perancangan Memori yang akan digunakan untuk menyimpan nilai-nilai Matriks sebagai pendukung proses QDCT, agar nilai-nilai Matriks yang dipergunakan tidak melebihi penyimpanan nilai atau batas Error maksimal untuk mendukung proses Real Time. Tujuan penulisan ini adalah memahami dan menganalisis perancangan Memori sebagai pendukung proses QDCT dan proses tersebut dibentuk ke dalam rangkaian elektronika dan diimplementasikan pada IC FPGA Spartan 3E. Batas Error maksimal adalah 0,5. Semakin kecil nilai Error yang dihasilkan semakin presisi pula hasil kompresinya. 1. Pendahuluan Saat ini, kita tidak pernah lepas dari dunia Multimedia, baik media tulisan maupun suara dan gambar. Kita memanfaatkannya ketika beraktivitas. Misalnya menonton televisi ataupun film. Dunia Multimedia saat ini berkembang dengan sangat pesat. Perkembangan tersebut akhirnya berdampak pada perkembangan dunia teknologi, terutama pada system chip. Pada saat ini, perkembangan algoritma kompresi untuk citra atau gambar telah berkem bang pesat. Berdasarkan hasil analisis menunjukan bahwa algoritma kompresi citra saat ini sudah dikembangkan dengan baik. Namun masih perlu pengembangan agar dapat lebih optimal. perkembangan media penyimpanan

2 berkapasitas besar mengakibatkan orang tidak masalah jika mempunyai data yang berukuran lebih besar. apabila data tersebut adalah gambar. maka dengan metode kompresi cita data gambar tersebut akan lebih mudah di manajemen. kompresi citra adalah proses untuk meminimalkan jumlah bit yang merepresentasikan suatu citra sehingga ukuran citra menjadi lebih kecil. pada dasarnya teknik kompresi citra digunakan untuk proses transmisi data dan penyimpanan data. kompresi citra banyak diaplikasikan pada penyiaran televisi, penginderaan jarak jauh, dan lain-lain. Semakin tingginya resolusi citra akan mengakibatkan memori penyimpanan semakin besar dan juga waktu tunggu untuk transmisi citra semakin lama. Yang selalu menjadi permasalahan utama yang dihadapi adalah besarnya jumlah data atau informasi yang terkandung dalam citra dan video. Sehingga untuk penyimpanannya membutuhkan memori yang besar dan juga untuk transmisinya membutuhkan waktu tunggu yang relatif lama. Untuk mengantisipasi kedua hal tersebut, telah dikembangkan algoritma kompresidiantaranya adalah JPEG. Banyak yang sudah melakukan algoritma kompresi citra atau JPEG, namun hasil kompresinya yang berukuran kecil tetapi kualitas yang dihasilkan tidak jauh berkurang. Tetapi perkembangan algoritma kompresi tidak berhenti, tetap selalu berkembang. Saat ini, yang telah bermunculan algoritma kompresi citra yang lebih baik, yang menghasilkan ukuran data yang lebih kecil dan kualitas gambar yang juga lebih baik. Salah satu solusi yang dapat dilakukan untuk mempercepat waktu komunikasi dan sekaligus meminimalkan penggunaan memori adalah pengembangan algoritma dan metode yang mampu mengkompres data multimedia sekecil mungkin dengan tetap menjaga kualitas informasi. Bagian dari kompresi citra atau gambar yang masih dapat dioptimalkan adalah proses transformasi, proses kuantisasi dan proses koding. Bagian dari algoritma kompresi citra JPEG yang masih dapat dioptimalkan adalah pada proses transformasi, proses kuantisasi dan proses coding. Dua proses utama dalam kompresi citra JPEG adalah proses DCT (Discreet Cocinus Transform) dan quantisasi. Kedua proses ini dilakukan secara terpisah dan sangat menentukan kualitas citra kompresi dan kecepatan kompresi dan rekonstruksi citra.[3] Ada beberapa pilihan dalam menentukan suatu platform perangkat keras untuk perancangan elektronik, mulai dari prosesor tertanam, ASIC atau Aplication Spesific Integrated Circuits, Programmable Micro- Processor atau lebih dikenal dengan mikrokontroler, FPGAs hingga PLDs atau Programmable Logic Device. Apabila suatu rancangan membutuhkan sebuah piranti terprogram dan sering terjadi perubahan rancangan dan algoritma yang melibatkan berbagai macam operasi yang kompleks, seperti perkalian, pembagian, pengurangan, dan penjumlahan, maka perlu menggunakan prosessor pemroses sinyal yang dapat diprogram ulang secara mudah menggunakan bahasa tingkat tinggi. Jika perangkat keras tersebut membutuhkan kecepatan yang tinggi, dan apabila rancangan memiliki beberapa fungsi sekaligus atau kombinasi dari kontroler yang kompleks dengan fungsi-fungsi perangkat keras yang khusus, maka FPGA adalah solusinya.

3 1.1 Rumusan Masalah a. Menentukan nilai-nilai Matriks QDCT yang dipilih berdasarkan prosespenyederhanaan dan perkalian Matriks. b. Merancang Sistem Memori yang akan digunakan untuk menyimpan nilai-nilai Matriks QDCT untuk proses kompresi JPEG. c. Mengimplementasikan rancangan Sistem Memori untuk kompresi citra secara Realtime. d. Rancang bangun sistem Memori dengan FPGA ini dibatasi oleh beberapa parameter yang dimiliki FPGA Sparta 3E, diantaranya: Four Input LUTs, Occupied Slices, Bonded IOBs, Total Equivalent Gate Count, Avarage Connection delay(ns) dan Maximum Pin Delay (ns). 2. Tinjaun Pustaka Proses DCT adalah proses transformasi citra dari domain spasial ke domain frequensi yang mampu memisahkan informasi mulai pada frekuensi rendah hingga frekuensi tinggi. Sehingga hal ini memungkinkan untuk memampatkan jumlah bit dalam setiap pixel melalui pengurangan atau penghilan-gan informasi detail (frekuensi tinggi). Hal ini dilakukan dengan alasan bah-wa mata manusia tidak terlalu peka terhadap perubahan informasi detail. Proses DCT dilakukan melalui perkalian matrik antara setiap blok citra 8x8 pixel dengan matriks cosinus discret berdasarkan pada dua persamaan satu dimensi (1-D) berikut (Wallace, 1992) (ISO/IEC IS, 1993). atau dimana N = 8 menentukan ukuran matriks cosinus [MC] dan ukuran matriks blok citra [I] serta matriks hasil transformasi [DCT] 8x8. Martriks cosinus [MC] memiliki komponen nilai konstan C1= , , C4= , C5= , seperti diberikan berikut ini : Proses kuantisasi (quantization) bertujuan untuk mengurangi jumlah bit yang digunakan utuk pengkodean informasi yang terkandung dalam matriks [DCT]8x8. Proses kuantisasi dilakukan melalui pembagian setiap nilai elemen matriks [DCT]8x8 terhadap suatu nilai konstan. Untuk mengetahui seberapa besar nilai konstan pembagi, terlebih dulu perlu dipahami karakteristik matriks DCT. Nilai element-elemen matriks [DCT]8x8 menunjukan distribusi nilai informasi dari frekuensi rendah (nilai elemen matriks pa-da posisi kiri atas) hingga informasi frekuansi tinggi (nilai elemen-elemen martiks dari kiri ke kanan kemudian kebawa, dari atas ke bawah kemudian ke kanan). Seperti telah diuraikan sebelumnya bahwa, system visual mata manusia sangat peka terhadap perubahan informasi global (informasi pa-da frekuensi rendah) dan kurang peka terhadap perubahan informasi detail (informasi pada frekuensi tinggi). Berdasarkan pada karakteristik matriks [DCT]8x8 dan system visual mata manusia,

4 maka dapat ditentukan nilai konstanta pembagi berupa nilai yang relative kecil untuk kuantisasi informasi frekuensi rendah dan nilai konstan-ta yang semakin membesar untuk informasi yang semakin mengarah pada frekuensi tinggi. Sebagai contoh dibawah adalah matriks kuantisasi kompre-si JPEG yang digunakan oleh software Photoshop untuk kualitas 11. Proses kuantisasi berperan untuk mengatur rasio dan kualitas kompresi. Semakin besar nilai elemen-elemen matriks maka rasio kompresi akan meningkat sedang kualitas kompresi akan menurun, Sebaliknya, semakin kecil nilai elemen-elemen matriks kuantisasi maka rasio kompresi akan mengecil dan kualitas kompresi akan meningkat. Secara matematis, proses kuantisasi dapat dinyatakan oleh persamaan (2). Proses ini membutuhkan operasi pembagian sebanyak jumlah pixel citra yang akan dikompres. Untuk contoh citra di atas dengan 8,192 mega pixel, berarti proses kuantisasi membutuhkan 8,192 juta operasi pembagian. 2.1 Optimalisasi Implementasi Algoritma QDCT Berikut adalah rancangan transformasi dari algoritma menjadi konsep rangkaian elektronik untuk diimplementasikan kedalam IC FPGA. Proses QDCT dapat dilakukan melalui perkalian matriks antara matriks cosine-terkuantisasi [Cq] ukuran 8x8 dengan blok citra [X] 8x8 pixel seperti diuraikan oleh persamaan (12). Matriks [Cq] dihitung dengan menggunakan persamaan (2), dimana C qi menyatakan nilai elemen ke i dari matriks [Cq], sedang Q(q,k) adalah fungsi kualitas kompresi q yang dapat diatur sesuai dengan yang diinginkan pengguna. Persamaan (4) memperlihatkan contoh perkalian matriks [Cq] terhadap satu kolom blok citra. Untuk 7 kolom lainya prosesnya adalah identik, sehingga cukup diturunkan perkalian pada satu kolom pertama. Perlu dipahami bahwa tidak ada standar matriks kuantisasi, sehingga setiap orang atau perusahaan dapat membuat dan menggunakan matriks kuantisasinya sendiri. Namun oleh karena matriks ini dibutuhkan untuk proses rekonstruksi citra atau video, maka matriks ini harus disertakan kedalam file citra atau video JPEG atau MPEG. Untuk proses ini, penulis melihat adanya peluang penelitian untuk menggabungkan kedua fungsi DCT dan kuantisasi menjadi satu fungsi yang terintegrasi.[4] Dapat dihitung jumlah operasi perkalian dan penjumlahan untuk satu kolom saja adalah sebanyak 64 perkalian dan 56 penjumlahan. Persamaan (13) ini dapat disederhanakan menjadi persamaan (6), (7) dan (8). Dari ketiga persamaan ini jumlah proses perkalian berkurang dari 64 menjadi 32 perkalian dan proses penjumlahan berkurang dari 56

5 menjadi 36 (T. C.Chen, 1988), (B. G. Lee, 2002). reduksi 28% jumlah penjumlahan atau pengurangan. [3] 2.2 Transformasi Algortirma QDCT ke Dalam Rangkaian Elektronik Persamaan (9) hingga persamaan (16) dapat ditransformasikan ke dalam bentuk rangkaian elektronika dalam dua bagian. Bagian pertama adalah sinkronisasi dan perhitungan signal input dan bagian kedua adalah proses perkalian antra koefisien matriks Cq dengan signal keluaran dari bagian per-tama. Kedua rangkaian tersebut diperlihatkan pada gambar 2.3 dan gambar 2.4 Persamaan (6), (7) dan (8) dapat disederhanakan kembali hingga diperoleh : Gambar 1: Proses sinkronisasi dan perhitungan signal masukan. dengan, Dari hasil transformasi terakhir ini diperoleh hanya 14 perkalian dan 32 penjumlahan atau terjadi reduksi 78% jumlah perkalian dan Rangkaian pada gambar 2 terdiri dari 3 blok. Pertama, pada bagian kiri adalah memori penyimpanan nilai koefisien matriks QDCT yang telah disederhanakan. Kedua, pada bagian tengah merupakan rangkaianan pro-ses perkalian matriks QDC terhadap pixel-pixel input. Ketiga, pada bagian bawah merupakan rangkaian memori untuk penyimpanan hasil proses perkalian matriks terhadap blok citra 8x8. [4]

6 Dari nilai-nilai tersebut dipilih berdasarkan proses perkalian matriks lalu dilakukan penjumlahan dan pengurangan kemudian hasilnya akan di kon-versikan ke bilangan biner. Bilangan biner tersebut yang akan disimpan di dalam memori. Gambar 2: Proses perkalian antara koefisien matriks QDC dan signal keluaran gambar 2.3 PEMBAHASAN 3. Metodologi Penelitian 3.1 Konversi Nilai-Nilai Matriks Ke Bilangan Biner Untuk menyimpan nilai-nilai matriks QDCT ke dalam sebuah memori harus melakukan konversi terlebih dahulu dari bilangan pecahan desimal ke bilan-gan biner. Konversi bilangan di sini menggunakan lebar data 10 bit. Gambar 4 : Proses Perkalian Matriks Gambar 5 : Proses Perkalian Bilangan Desimal ke Bilangan Biner 3.2 Menghitung Nilai Error Gambar 3 : Nilai-nilai Matriks QDCT Menghitung nilai error atau nilai kesalahan berfungsi untuk menentukan seberapa presisi system memori yang di

7 gunakan untuk kompresi citra. Makin kecil jumlah bit semakin presisi dan akan mempercepat proses penyimpanan. Untuk mencari nilai Error yang pertama, hasil dari penjumlahan dan pen-gurangan nilai-nilai Matriks QDCT didapat dari gambar 3.2. Bilangan Des-imal yang sudah melalui penjumlahan dan pengurangan di konversikan ke bilangan biner 10 bit seperti cara yang sudah dijabarkan di pembahasan se-belumnya. Bilangan biner tersebut di konversikan kembali ke bilangan Des-imal 10 bit. Untuk mendapatkan nilai error pertama bilangan desimal (C) dikurangi dengan bilangan desimal (C1 10bit) hasil dari konversi bilangan biner. proses Matriks QDCT dengan jumlah 1 bit yaitu 255. Tabel 2 Nilai Error Pixel Tabel 1 Nilai Error Pertama 3.3 Rancangan Memori 10 Bit Rancangan Register Buffer Terkendali Nilai Error Piksel merupakan nilai yang akan menunjukan nilai kesalahan pada kompresi citra. Batas maksimal nilai Error Piksel adalah 0.5. Apabila nilai Error Piksel melebihi batas maksimal maka proses kompresi citra tidak berjalan dengan maksimal. Nilai Error Piksel didapat dari perkalian bilangan Desimal dari Gambar 6 : Blok Register Buffer Terkendali

8 terkendali yang akan menentukan jalur data mana yang akan digunakan. Jika dari salah satu jalur data ang tersusun dari Register Buffer terkendali mengeluarkan logika 1 (prinsip gerbang OR) maka data dari jalur tersebut yang nantinya akan menjadi masukan pada D flip-flop. Gambar 7 : Rangkaian Buffer Terkendali Pada blok input ini terdiri dari kombinasi rangkaian diantaranya kombinasi rangkaian gerbang AND dan NOT yang berfungsi sebagai masukan untuk SET dan RESET pada flip-flop synchronous dan asynchronous (FDRS) yang akan menentukan apakah data masukan dari Load akan dilewatkan atau diabaikan yang nantinya menjadi data keluaran, yang tentunya kondisi Load data masukan harus dibarengi dengan transisi clock positif = 1 (rising edge) Rangakaian Kendali Gambar 8 : Rangkaian Kendali Pada rangkaian kendali ini, Register buffer terkendali dikombinasikan de-ngan gerbang OR. Gerbang OR tersebut akan berfungsi memproses hasil keluaran dari Register Buffer Rangkaian Memori Gambar 9: Rangkaian Memori 1 bit Sebuah rangkaian penyimpanan terdiri dari bagian atau unit Memori. Bagian Memori yang terkecil dan dasar disebut dengan sel-sel Memori atau elemen Memori. Sel-sel Memori inilah yang nantinya akan membentuk suatu susunan rangkaian logika yang dapat menyimpan. Tiap elemen menyimpan 1 bit data biner, yang dinyatakan dalam sistem biner yaitu 0 dan 1. Tiap elemen terdiri dari sebuah rangkaian logika yang berupa flip-flop. Flip-flop dalah elemen memori terkecil yang dapat menyimpan data sebesar 1 bit yaitu 1 atau 0. Rangkaian di atas merupakan rangkaian terintegrasi yang membentuk rangkaian Memori, dimana rangkaian Flipflop menjadi rangka-ian utama pada rangkaian Memori ini karena dapat menyimpan data. Ada-pun jenis Flip-flop yang digunakan

9 adalah D Fli flop dan masukan untuk D Flip flop berasal dari keluaran gerbang OR. Gambar 10 : Rangkaian Memori 10 bit 4. Hasil Penelitian 4.1 Simulasi Rancangan Memori 10 Bit Unit Register Buffer Terkendali ini berfungsi untuk menyimpan data lebih banyak dari 1 bit berupa data 0 atau 1. Dari hasil simulasi tersebut terlihat bahwa proses penyimpanan data dengan register untuk 3 bit telah berfungsi dengan benar sesuai dengan prinsip kerja dan tabel kebenaran flip-flop synchronus dan asynchronus (FDRS). Dalam register ini clock akan diumpankan dan data masuk secara paralel dengan serentak dan keluar secara serentak pula. Karena menggunakan flip-flop synchronus dan asynchronus (FDRS) pemicuan tepi data yang keluar secara serentak harus mengacu pada tepi naik sinyak clock positik (rising edge) diikuti dengan karakteristik flip-flop synchronus dan asynchronus (FDRS) dengan kondisi sinyal kendali Load seperti : a. Jika pada saat sinyal kendali Load = 0 dan clock = 0 (transisi negatif)maka berdasarkan prinsip diatas maka register akan melewatkan data yang dimasukan, akan tetapi Load data tidak dibarengi dengan transisi kenaikan clock = 1 (tansisi positif) maka data keluaran akan diabaikan atau Q=0. b. Jika pada saat sinyal kendali. Load = 1 dan clock = 1 (transisi posi-tif) maka berdasarkan prinsip diatas maka register akan mengeluarkan data atau Q = 1 (SET) secara langsung dan mengabaikan data yang dimasukan c. Jika pada saat sinyal kendali Load = 0 dan clock = 1 (transisi posi-tif) maka berdasarkan prinsip diatas maka register akan melewatkan data yang dimasukan, Data masukan(d) = Data keluaran (Q). Hasil simulasi unit ini sebagai berikut: Gambar 10 : Input unit Register Buffer Terkendali

10 Gambar 11 : Simulasi Unit register Buffer Terkendali Gambar 12 : Input Rangkaian Memori 1 bit Tabel 3 : Tahapan Proses simulasi unit register buffer terkendali 3 bit 4.2 Unit Rangakaian Memori D flip-flop yang terdapat pada bagian akhir dari rangkaian ini berfungsi seba-gai output. eluaran D flip flop akan mengikuti apapun keadaan data pada saat kendali aktif. Perubahan itu terjadi hanya apabila sinyal kendali dibuat berlogika 1 dan tentunya akan terjadi sesudah selang waktu tertentu. Data masukan D flip flop didapat dari hasil bagian keluaran gerbang OR. Setiap data masukan akan dilewatkan jika data masukan dibarengi dengan perubahan transisi clock positif (rising edge) jika tidak dibarengi dengan perubahan transisi clock positif (rising edge) maka data masukan akan diabaikan atau tidak diproses yang tentunya sesuai dengan prinsip kerj D Flip-flop. Gambar 13 : Simulasi unit Rangakaian Memori 1 bit Tabel 4 : Tahapan Proses simulasi unit rangkaian Memori 1 bit

11 Tabel 5 : Tahapan proses simulasi rangkaian Meomori 10 bit (Masukan) Gambar 14 : Input rangkaian memori 10 bit Tabel 6 : Tahapan proses simulasi rangkaian memori 10 bit (keluarean 1) Tabe Gambar 15 : Simulasi unit rangkaian Memori 10 bit Tabel 7 : Tahapan proses simulasi rangkaian memori 10 bit (keluaran 2)

12 Dari simulasi di atas data diambil dari nilainilai Matriks yang sudah dikonversi ke bilangan biner lalu diuji coba ke dalam rangkaian memori 10 bit. 4.3 Desain implementasi Desain dari rangkaian memori 10 bit telah diselesaikan dengan menggu-nakan VHDL dan implementasi dalam Xilinx Spartan- 3E (package :FG320, speed :-4), dalam proses pembuatan desain ini menggunakan Design tool Xilinx ISE 9.2i. Berikut Tabel Pemanfaatan sumber daya untuk Spartan- 3E pada desain dan implementasi rangkaian memori: Tabel 8 : Pemanfaatan sumber daya untuk rangkaian Memori 10 bit TEGC, Total Equivalent Gate Count merupakan jumlah total dari ger-bang logika yang digunakan baik gerbang dasar maupun gerbang kombinasional. AC, Average Connenction delay(ns) merupakan rata-rata waktu tun-da yang dibu- tuhkan untuk menghubungkan Configurable Logic Blocks(CLB). MP, Maximum Pin delay(ns) merupakan maksimal waktu tunda yang dibutuhkan un- tuk masingmasing pin. B IOBs, Bonded I/O sebagai interface antara external package pin dari device dan internal user logic. 4.4 Place & Router FPGA Keterangan: Bit, jumlah bit Rangkaian, nama rangkaian FI LUTs, Four Input LUTs merupakan sejenis RAM yang berkapasitas kecil yang memiliki 4 buah masukan. OS, Occupied Slices merupakan blok dasar pembangun FPGA. CLB, Configurable Logic Blocks (CLB), merupakan sumber daya utama untuk merancang rangkaian kombinasional secara sinkron. Masingmasing CLB berisi 4 slices dan masing- masing slices dua LookUp Ta-bles (LUTs) untuk merancang logika dan dua media penyimpanan yang digunakan sebagai flip-flop atau latch. Gambar 16 : Bagian Array CLB terdiri dari 4 sclice Masing-masing CLB berisi 4 slices dan masing- masing slices dua Look-Up Tables (LUTs) untuk merancang logika dan dua media penyimpanan yang digunakan sebagai flip-flop latch.

13 Gambar 17 : Rangkaian dari 1 Slice Gambar diatas merupakan bagian rangkaian dari satu slices yang meru pakan blok dasar pembangun FPGA. Setiap slice berisi sejumlah LUT s, flip-flop dan elemen carry logic yang membentuk desain logika sebelum pemetaan. Gambar 18 : Desain Place Memori 10 bit Gambar 19 : Desain Route Memori 10 bit 5. Penutup 5.1 Kesimpulan Berdasarkan hasil pengamatan dan analisis yang telah dilakukan dapat dis-impulkan bahwa secara umum : a. Desain Memori terdiri dari 10 bit, apabila rangkaian Memori lebih dari 0 bit maka pemakaian sumber daya akan lebih banyak. b. Rangkaian Memori terdiri dari Rangkaian Buffer terkendali, Rangkaian Kendali (selector) dan rangkaian D Flip-flop, dimana rangkaian Buffer terkendali berfungsi sebagai masukan lalu melewati dan di proses di gerbang OR dan disimpan di rangkaian D Flip flop. c. Implementasi rancangan pada FPGA Xilinx Spartan 3E menghasilkan utilisasi komponen sebagai berikut : Slice sebanyak 40 Unit Look Up Table sebanyak 70 unit

14 6. Kata Pengantar [1] Anonim. Flip-flop.tke 113 handout flip-flop, [2] Anonim.Modul praktikum FPGA. Laboratorium Lanjut Sistem Komputer, [3] Sarifuddin Madenda Edi Sukirman, Ernastuti. Peningkatan kinerja algoritma kompresi dan dekompresi jpeg melalui penggabunganproses dct dan kuantisasi [4] Prof. Dr. Sarifuddin Madenda.Proposal RISTEK tahun ke 2. Prof. Dr Sarifuddin Madenda, [5]Sahatma Pangaribuan. Fungsi arsitektur memori mikroprosesor atau mikrokontroler Mikrokontroler, 2009.

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN. 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Di era globalisasi ini perkembangan teknologi komputer, informasi dan komunikasi yang sangat pesat memicu penggunaannya untuk kebutuhan pertukaran informasi yang semakin

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

Peningkatan Kinerja Algoritma Kompresi dan Dekompresi JPEG Melalui Penggabungan Proses DCT dan Kuantisasi

Peningkatan Kinerja Algoritma Kompresi dan Dekompresi JPEG Melalui Penggabungan Proses DCT dan Kuantisasi Peningkatan Kinerja Algoritma Kompresi dan Dekompresi JPEG Melalui Penggabungan Proses DCT dan Kuantisasi Edi Sukirman, Ernastuti, Sarifuddin Madenda Fakultas Ilmu Komputer dan Teknologi Informasi Universitas

Lebih terperinci

BABI PENDAHULUAN 1.1 Latar Belakang

BABI PENDAHULUAN 1.1 Latar Belakang BABI PENDAHULUAN 1.1 Latar Belakang Saat ini transformasi wavelet banyak sekali digunakan dan bermanfaat untuk analisis numerik, analisis isyarat, aplikasi kontrol dan aplikasi audio [1]. Dalam analisis

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM Pada bab analisa dan perancangan ini akan mengulas tentang tahap yang digunakan dalam penelitian pembuatan aplikasi implementasi kompresi gambar menggunakan metode

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582 ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH Hendra Setiawan 1*, Fahmi Nugraha 1 1 Program Studi Teknik Elektro, Fakultas Teknologi Industri, Universitas Islam Indonesia Jl. Kaliurang km.14.5,

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

BAB 2 TINJAUAN PUSTAKA

BAB 2 TINJAUAN PUSTAKA BAB 2 TINJAUAN PUSTAKA Bab ini membahas landasan teori yang bersifat ilmiah untuk mendukung penulisan penelitian ini. Teori-teori yang dibahas mengenai pengertian citra, jenis-jenis citra digital, metode

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

PERANCANGAN DEBLOCKING FILTER UNTUK APLIKASI KOMPRESI VIDEO MENGGUNAKAN STANDAR MPEG4/H.264

PERANCANGAN DEBLOCKING FILTER UNTUK APLIKASI KOMPRESI VIDEO MENGGUNAKAN STANDAR MPEG4/H.264 PERANCANGAN DEBLOCKING FILTER UNTUK APLIKASI KOMPRESI VIDEO MENGGUNAKAN STANDAR MPEG4/H.264 Andreas Sutanto, S.T., asutanto@paume.itb.ac.id, Dani Fitriyanto, M.T., dani@paume.itb.ac.id, Trio Adiono, Ph.D.,

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

Publikasi Jurnal Skripsi

Publikasi Jurnal Skripsi IMPLEMENTASI INVERSE DISCRETE COSINE TRANSFORM (IDCT) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA) Publikasi Jurnal Skripsi Disusun oleh : SAFRIL WAHYU PAMUNGKAS NIM. 0810633081-63 KEMENTERIAN PENDIDIKAN

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Citra (image) adalah kombinasi antara titik, garis, bidang, dan warna untuk menciptakan suatu imitasi dari suatu obyek, biasanya obyek fisik atau manusia. Citra dapat

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Peningkatan teknologi komputer memberikan banyak manfaat bagi manusia di berbagai aspek kehidupan, salah satu manfaatnya yaitu untuk menyimpan data, baik data berupa

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Imaduddin Amrullah Muslim 1), R.Rizal Isnanto 2), Eko Didik Widianto 3) Program Studi Sistem Komputer, Fakultas

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

ANALISA KOMPRESI CITRA DIGITAL MENGGUNAKAN METODE HADAMARD

ANALISA KOMPRESI CITRA DIGITAL MENGGUNAKAN METODE HADAMARD ANALISA KOMPRESI CITRA DIGITAL MENGGUNAKAN METODE HADAMARD Eva Haryanty, S.Kom. ABSTRAK Kompresi data adalah proses mengubah suatu input data menjadi data lain dengan format berbeda dan ukuran yang lebih

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Register, Counter dan Memori 1 11/9/2016 1 Inti pembelajaran Memahami pengertian Register, Counter dan Memori. Mampu menjelaskan cara kerja Register, Counter

Lebih terperinci

BAB I PENDAHULUAN 1.2 Rumusan Masalah 1.3 Batasan Masalah

BAB I PENDAHULUAN 1.2 Rumusan Masalah 1.3 Batasan Masalah BAB I PENDAHULUAN 1.1 Latar Belakang Jaringan data elektronik dalam area Public Health telah menyebabkan organisasi pemrosesan menjadi lebih efisien. Transfer medical data pada jaringan data online atau

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

N, 1 q N-1. A mn cos 2M , 2N. cos. 0 p M-1, 0 q N-1 Dengan: 1 M, p=0 2 M, 1 p M-1. 1 N, q=0 2. α p =

N, 1 q N-1. A mn cos 2M , 2N. cos. 0 p M-1, 0 q N-1 Dengan: 1 M, p=0 2 M, 1 p M-1. 1 N, q=0 2. α p = tulisan. Secara umum, steganografi dapat diartikan sebagai salah satu cara menyembunyikan suatu pesan rahasia (message hiding) dalam data atau pesan lain yang tampak tidak mengandung apa-apa sehingga keberadaan

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi informasi ternyata berdampak pada perkembangan ilmu pengetahuan yang lain. Semuanya merupakan informasi yang sangat penting. Oleh karena

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL Eri Prasetyo Wibowo Fakultas Ilmu Komputer Universitas Gunadarma ABSTRAK Pencacah biner dengan variable input yang

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

DIGITAL IMAGE CODING. Go green Aldi Burhan H Chandra Mula Fitradi Mardiyah

DIGITAL IMAGE CODING. Go green Aldi Burhan H Chandra Mula Fitradi Mardiyah DIGITAL IMAGE CODING Go green Aldi Burhan H Chandra Mula Fitradi Mardiyah KOMPRESI LOSSLESS Teknik kompresi lossless adalah teknik kompresi yang tidak menyebabkan kehilangan data. Biasanya digunakan jika

Lebih terperinci

REGISTER DAN COUNTER.

REGISTER DAN COUNTER. REGISTER DAN COUNTER www.st3telkom.ac.id Register Register adalah rangkaian yang tersusun dari satu atau beberapa flip-flop yang digabungkan menjadi satu. Flip-Flop disebut juga sebagai register 1 bit.

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counter digunakan untuk berbagai operasi

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM BAB III PERANCANGAN DAN REALISASI ALAT 3.1 Pembuatan Modulator 8-QAM Dalam Pembuatan Modulator 8-QAM ini, berdasarkan pada blok diagram modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok

Lebih terperinci

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY Ferry Wahyu Wibowo Dosen STMIK AMIKOM Yogyakarta ferrywahyu@gmail.com Abstrak Telah dibuat rangkaian yang berfungsi

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Fikri Aulia, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan, S.T., MT. Abstrak FPGA merupakan IC yang dapat

Lebih terperinci

Implementasi Discrete Cosine Transform Pada Field Programmable Gate Array

Implementasi Discrete Cosine Transform Pada Field Programmable Gate Array Implementasi Discrete Cosine Transform Pada Field Programmable Gate Array Yan Felix Monangin, Waru Djuriatno ST., MT., Mochammad Rif an, ST., MT. Jurusan Teknik Elektro Fakultas Teknik Universitas Brawijaya

Lebih terperinci

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter?

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter? BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum melakukan percobaan, ada baiknya kita mempelajari serta memahami setiap percobaan yang akan kita lakukan. Tanpa disadari dalam membuat suatu makalah kita pasti

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

TUGAS AKHIR KOMPRESI CITRA BERWARNA DENGAN PENERAPAN DISCRETE COSINE TRANSFORM ( DCT )

TUGAS AKHIR KOMPRESI CITRA BERWARNA DENGAN PENERAPAN DISCRETE COSINE TRANSFORM ( DCT ) TUGAS AKHIR KOMPRESI CITRA BERWARNA DENGAN PENERAPAN DISCRETE COSINE TRANSFORM ( DCT ) Diajukan untuk Melengkapi Tugas Akhir dan Memenuhi Syarat-syarat untuk Mencapai Gelar Sarjana Teknik Fakultas Teknik

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

FORMULIR Satuan Acara Pengajaran

FORMULIR Satuan Acara Pengajaran Universitas Bina Darma Formulir : FRM/KUL/01/02 SATUAN ACARA PENGAJARAN MATA KULIAH : ARSITEKTUR KOMPUTER Riwayat Perubahan Dokumen Tanggal Perubahan Revisi No. Halaman Perubahan Dibuat Oleh Diperiksa

Lebih terperinci

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014 LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 23 / 24 MODUL 4 REGISTER, COUNTER DAN MEMORI OLEH KELOMPOK B ADE ILHAM FAJRI 5358 FRANKY SETIAWAN DALDIRI 5383 KELAS : B ASISTEN PEMBIMBING RISYANGGI AZMI FAIZIN

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1. Kompresi File Pada dasarnya semua data itu merupakan rangkaian bit 0 dan 1. Yang membedakan antara suatu data tertentu dengan data yang lain adalah ukuran dari rangkaian bit dan

Lebih terperinci

BAB I. PENDAHULUAN Latar Belakang Masalah

BAB I. PENDAHULUAN Latar Belakang Masalah BAB I. PENDAHULUAN 1 1.1. Latar Belakang Masalah Citra adalah gambar yang berada pada bidang dua dimensi. Agar dapat diproses lebih lanjut, sebuah citra disimpan di dalam bentuk digital. Ukuran citra digital

Lebih terperinci

Hanif Fakhrurroja, MT

Hanif Fakhrurroja, MT Pertemuan 4 Organisasi Komputer Rangkaian Logika Hanif Fakhrurroja, MT PIKSI GANESHA, 2013 Hanif Fakhrurroja @hanifoza hanifoza@gmail.com Agenda 1 Rangkaian Kombinasi 2 Rangkaian Sekuensial/flip-flop Pendahuluan

Lebih terperinci

BAB III. ANALISIS MASALAH

BAB III. ANALISIS MASALAH BAB III. ANALISIS MASALAH Pada bab tiga laporan Tugas Akhir ini akan dibahas mengenai analisis pemecahan masalah untuk pengubahan logo biner menjadi deretan bilangan real dan proses watermarking pada citra.

Lebih terperinci

KOMPRESI CITRA BERWARNA MENGGUNAKAN METODE POHON BINER HUFFMAN. Sarifuddin Madenda, Hayet L. dan I. Bayu *

KOMPRESI CITRA BERWARNA MENGGUNAKAN METODE POHON BINER HUFFMAN. Sarifuddin Madenda, Hayet L. dan I. Bayu * KOMPRESI CITRA BERWARNA MENGGUNAKAN METODE POHON BINER HUFFMAN Sarifuddin Madenda, Hayet L. dan I. Bayu * ABSTRAK KOMPRESI CITRA BERWARNA MENGGUNAKAN METODE POHON BINER HUFFMAN. Makalah ini membahas tentang

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB 3 ALGORITMA DAN MODEL 2K FFT-IFFT CORE

BAB 3 ALGORITMA DAN MODEL 2K FFT-IFFT CORE BAB 3 ALGORITMA DAN MODEL 2K FFT-IFFT CORE Pada Bab ini dibahas mengenai penentuan algoritma, menentukan deskripsi matematis dari algoritma, pembuatan model fixed point menggunakan Matlab, dan pengukuran

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro Elemen : dan Elemen : dan TKC-305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang desain blok rangkaian kombinasional beserta HDLnya.

Lebih terperinci

Konsep Dasar Pengolahan Citra. Pertemuan ke-2 Boldson H. Situmorang, S.Kom., MMSI

Konsep Dasar Pengolahan Citra. Pertemuan ke-2 Boldson H. Situmorang, S.Kom., MMSI Konsep Dasar Pengolahan Citra Pertemuan ke-2 Boldson H. Situmorang, S.Kom., MMSI Definisi Citra digital: kumpulan piksel-piksel yang disusun dalam larik (array) dua-dimensi yang berisi nilai-nilai real

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

1. Pendahuluan. 1.1 Latar Belakang Masalah

1. Pendahuluan. 1.1 Latar Belakang Masalah 1. Pendahuluan 1.1 Latar Belakang Masalah Jumlah pengguna komputer semakin meningkat. Peningkatan jumlah pengguna komputer mengakibatkan penggunaan data digital juga semakin meningkat. Salah satu media

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

BAB I PENDAHULUAN I-1

BAB I PENDAHULUAN I-1 BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Sejak ditemukannya alat untuk menangkap suatu gambar pada bidang dua dimensi (citra) berupa kamera, dengan semakin berkembangnya teknologi pada saat ini sehingga

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Rangkaian Sequensial. Flip-Flop RS

Rangkaian Sequensial. Flip-Flop RS Rangkaian Sequensial Rangkaian logika di kelompokkan dalam 2 kelompok besar, yaitu rangkaian logika kombinasional dan rangkaian logika sekuensial. Bentuk dasar dari rangkaian logika kombinasional adalah

Lebih terperinci

Penerapan Pohon Biner Huffman Pada Kompresi Citra

Penerapan Pohon Biner Huffman Pada Kompresi Citra Penerapan Pohon Biner Huffman Pada Kompresi Citra Alvin Andhika Zulen (3507037) Program Studi Teknik Informatika, Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jalan Ganesha No 0 Bandung,

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : - FLIP FLOP - RS FF - JK FF - D FF - T FF 1 Salah satu rangkaian logika

Lebih terperinci

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL

DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL DESAIN PENCACAH BINER 4-BIT MENGGUNAKAN PRESET RESET SEREMPAK DENGAN INPUT DATA VARIABEL Eri Prasetyo W.* *Staff Pengajar Universitas Gunadarma *Mahasiswa S3 pada Loboratorium E2I(Electronique, Informatique

Lebih terperinci

APLIKASI KOMPRESI CITRA BERBASIS ROUGH FUZZY SET

APLIKASI KOMPRESI CITRA BERBASIS ROUGH FUZZY SET APLIKASI KOMPRESI CITRA BERBASIS ROUGH FUZZY SET Anny Yuniarti 1), Nadya Anisa Syafa 2), Handayani Tjandrasa 3) 1,2,3) Jurusan Teknik Informatika Institut Teknologi Sepuluh Nopember (ITS) Surabaya Surabaya

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci