MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

dokumen-dokumen yang mirip
2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB III ANALISIS DAN PERANCANGAN

Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

BAB IV PENGUJIAN DAN ANALISA

BAB III PERANCANGAN DAN PEMBUATAN ALAT. 3.1 Blok ahap ini akan diketahuin alurdiagram Rangkaian

Aplikasi Teori Graf pada State Diagram

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM. secara otomatis. Sistem ini dibuat untuk mempermudah user dalam memilih

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

BAB I PENDAHULUAN. bahwa catur adalah permainan yang digemari oleh segala usia. kendala bagi seseorang yang tergolong awam dalam catur.

Crane Hoist (Tampak Atas)

Waktu : 4 x 50 Topik : Pengenalan Monitor. Kode : 10/ELK-ELA166/2007 Judul : Blok Diagram Monitor

BAB III PERANCANGAN DAN PEMBUATAN ALAT

Gamepad Interface. Smart Peripheral Controller

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan

UKDW BAB 1 PENDAHULUAN Latar Belakang

BAB 3. Perancangan Sistem

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Gambar 3.1 Diagram Blok Alat

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

BAB III PERENCANAAN DAN REALISASI SISTEM

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

Pengenalan FPGA oleh Iman Taufik Akbar

BAB III PERANCANGAN ALAT

Tabel Isi. Perhatian...2. Isi Paket...2. Petunjuk Pemasangan...3. Memasang Monitor...3. Melepas Monitor...3. Pengaturan Sudut Pandang...

BAB III PERANCANGAN SISTEM

PARAMETER GERBANG LOGIKA

BAB IV PENGUJIAN DAN PEMBAHASAN

BAB 3 PERANCANGAN SISTEM

MATERI PELATIHAN VHDL UNTUK SINTESIS

BAB 3 PERANCANGAN SISTEM

Wireless Gamepad Interface

BAB III METODE PENELITIAN. oleh karenanya akan dibuat seperti pada Gambar 3.1.

BAB III METODE PENELITIAN. Berikut langkah-langkah yang dilakukan dalam penelitian ini :

ELKAHFI 200 TELEMETRY SYSTEM

3. Layar monitor bergaris-garis penuh biasanya disebabkan oleh kerusakan pada bagian :

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB III METODE DAN PERANCANGAN

DEPARTEMEN PENDIDIKAN NASIONAL UNIVERSITAS NEGERI PADANG FAKULTAS TEKNIK JURUSAN TEKNIK ELEKTRONIKA

BAB III METODE PENELITIAN. baik pada perangkat keras maupun pada komputer. Buffer. Latch

MODUL PRAKTIKUM INSTRUMENTASI KENDALI PENGENALAN NI ELVIS MEASUREMENT INSTRUMENT

BAB IV PENGUJIAN DAN ANALISA

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK

Teknik Otomasi [PengenalanPLC]

BAB III ANALISIS DAN DESAIN SISTEM

BAB III MIKROKONTROLER

BAB III ANALISIS DAN PERANCANGAN

BAB IV ANALISIS DATA DAN PEMBAHASAN

BAB III ANALISIS DAN PERANCANGAN

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB II LANDASAN TEORI

Finite State Machine (FSM)

BAB 3 PERANCANGAN SISTEM. ruangan yang menggunakan led matrix dan sensor PING))). Led matrix berfungsi

PROGRAMMABLE LOGIC CONTROLLER (PLC) SUATU PEMAHAMAN DASAR PERALATAN PENGENDALI DI INDUSTRI BAGI MAHASISWA TEKNIK INDUSTRI

BAB IV HASIL DAN UJI COBA

PENDETEKSI TEMPAT PARKIR MOBIL KOSONG MENGGUNAKAN METODE CANNY

BAB II TINJAUAN PUSTAKA

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang

BAB III ANALISA DAN PERANCANGAN

PEMBUATAN PROTOTIPE ALAT PENDETEKSI LEVEL AIR MENGGUNAKAN ARDUINO UNO R3

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Bab 3 PLC s Hardware

Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial

BAB III PERANCANGAN & PEMBUATAN ALAT

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III PERANCANGAN ALAT

PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER

PETUNJUK TEKNIS PENGAJARAN MENGGUNAKAN LCD TV (MERK TOSHIBA REGZA)

SWITCHER (ROUTER) VIDEO/ AUDIO 4x2

3.2. Tempat Penelitian Penelitian dan pengujian alat dilakukan di lokasi permainan game PT. EMI (Elektronik Megaindo) Plaza Medan Fair.

Abstrak. Susdarminasari Taini-L2F Halaman 1

DT-SENSE Color Sensor Q uick S tart

ROBOT OMNI DIRECTIONAL STEERING BERBASIS MIKROKONTROLER. Muchamad Nur Hudi. Dyah Lestari

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

Aplikasi Teori Graf dalam Permainan Kombinatorial

II. DASAR TEORI I. PENDAHULUAN

BAB III PERANCANGAN ALAT

DAFTAR ISI COVER LEMBAR PENGESAHAN INTISARI ABSTRACT PERNYATAAN KATA PENGANTAR DAFTAR ISI DAFTAR GAMBAR DAFTAR TABEL PENDAHULUAN

KONTROL OTOMATIS AIR CONDITIONER SHELTER BTS BERBASIS MICROCONTROLLER JOURNAL

BAB III PROSES PERANCANGAN

Bab III Perangkat Pengujian

MEMORI. (aktif LOW). Kaki 9 A0 D A1 D A2 D A3 D A4 D A5 D A6 D A7 D7 23 A8 22 A9 19 A10 21 W 20 G 18 E 6116

TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY. DOSEN : DR. Satria Gunawan Zain, M.T TANGGAL KUMPUL PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan

BAB III METODOLOGI PENULISAN

BAB 4 IMPLEMENTASI DAN EVALUASI. menerapkan Pengontrolan Dan Monitoring Ruang Kelas Dengan Menggunakan

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

BAB II LANDASAN TEORI. a. Sistemnya dapat berperan sebagai manual ataupun otomatis. d. Mampu menangani kondisi-kondisi industri yang sulit.

BAB III PERANCANGAN SISTEM

BAB IV HASIL DAN UJI COBA

PC-Link. 1x Komputer / Laptop dengan OS Windows 2000, Windows XP atau yang lebih tinggi. Gambar 1 Blok Diagram AN200

PERANCANGAN DAN REALISASI PENGANTRIAN MEJA PADA RESTORAN MENGGUNAKAN FASILITAS SHORT MESSAGE SERVICE

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah

Transkripsi:

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB Abstrak Pada percobaan ini praktikan melakukan perancangan rangkaian digital. Rankaian digital yang akan dibuat adalah game tic tac toe. Permainan tic tac toe adalah permainan yang terdiri dari tiga buah bidak yang nantinya akan diisi oleh O dan X oleh pemain. Jika salah satu diantara O dan X dapat membentuk garis lurus dengan 3 buah elemen, maka pemain tersebut menang. Dalam game yang praktikan buat, pemain adalah multiplayer. Kata kunci: VGA, tic tac toe, FSM. 1. PENDAHULUAN Praktikum modul VI ini bertujuan : 1. Menspesifikasikan suatu sistem digital sederhana 2. Membagi sistem menjadi satu atau lebih jalur data dan kendali 3. Mendesain jalur data untuk sistem 4. Mendesain kendali untuk sistem 5. Mengintegrasikan jalur data dan kendali ke dalam sistem secara keseluruhan 6. Melakukan tes menyeluruh terhadap sistem 7. Mengimplementasikan sistem digiral menggunakan FPGA dan komponen tambahan yang diperlukan 8. Menguji dan menganalisa sistem yang sudah dibangun Rangkaian digital banyak digunakan di berbagai macam alat, seperti lampu lalu lintas, jam digital, dan lain-lain. Selain itu, dengan rangkaian digital, kita juga bisa membuat permainan, sebagai contohnya console nintendo yang menggunakan rangkaian digital. Pada proyek perancangan rangkaian digital ini, praktikan membuat sebuah game yang bernama tic tac toe. Game ini merupakan multiplayer board game. Tic tac toe menggunakan board 3x3 yang nantinya diisi oleh pengguna dengan X atau O (pada percobaan ini akan digunakan warna yang berbeda). Jika salah satu pemain berhasil menyusun tiga buah bidaknya segaris, maka pemain itulah yang menang. 2. STUDI PUSTAKA Video Graphics Array (VGA) masih menjadi interface yang popular untuk sebuah tampilan. VGA interface ini masih banyak ditemukan di beberapa device sekarang, misalnya LCD dan proyektor. VGA interface ini terdapat juga di board Altera yang kita gunakan saat ini. Pada percobaan kali ini tampilan VGA digunakan agar tampilan hasil desain yang kita rancang menjadi lebih menarik, tidak terbatas hanya pada LED atau 7segment. Tujuan percobaan kali ini juga adalah memberikan ilustrasi penggunaan interface I/O yang ada pada FPGA, misalnya GPIO, komunikasi serial menggunakan RS232, Audio CODEC, LCD karakter, 16x2, dll. Interface ke VGA menggunakan 2 jenis sinyal, yaitu sinyal warna (merah, hijau, biru) dan sinyal sinkorn (horizontal dan vertical). Berikut adalah penjelasan sinyal yang digunakan : a. Horizontal Sync (TTL level) Sinyal ini akan aktif pada range piksel kolom 0 sampai dengan 639. Sehingga, jika sinyal ini tidak aktif, yang terjadi adalah pergantian baris. b. Vertical Sync (TTL level) Sinyal ini akan aktif pada range piksel 0 sampai dengan 479. Sehingga jika sinyal ini tidak aktif, yang terjadi adalah pergantian layar atau kembali ke baris pertama. c. Sinyal RGB (Analog 3 pin : 0,7-1 V) Sinyal ini merepresentasikan intensitas untuk masing-masing komponen warna merah, hijau, dan bitu untuk setiap piksel yang sedang aktif. Sehingga yang terjadi ketiga sintal ini berubahubah sesuai piksel yang sedang aktif dalam proses scanning (dari kiri ke kanan untuk Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

setiap baris, selanjutnya dari baris paling atas sampai baris paling bawah. Pada percobaan ini kita menggunakan resolusi 640x480 piksel dan menggunakan refresh rate lebih dari 60Hz. Refresh rate ini digunakan karena pada range kurang dari 30-60 Hz manusia dapat melihat adanya flicker. Selain itu refresh rate ini juga umum digunakan pada monitor LCD. Lcd modern memiliki fitur multirate sehingga kita tidak harus tepat membuat refresh ratenya 60 Hz. Proses scanning berawal dari kiri atas ke kanan lalu ke kiri bawah dan kembali ke kiri atas ketika sudah mencapai piksel terakhir. Sebuah sinyal aktif low menunjukkan akhir dari sebuah sinkronisasi. Misalkan sinyal aktif low untuk horiz_sync menandakan akhir dari scanning satu baris dan awal untuk baris berikutnya. Data RGB harus didrive 0 untuk beberapa waktu tertentu thfp dan tvfp. [2] Pada rangkaian logika sekuensial, terdapat memori state yang berfungsi untuk menyimpan state yang ada. Rangkaian sekuensial terdiri dari dua jenis yaitu rangkaian Mealy dan rangkaian Moore. Keluaran rangkaian Mealy bergantung pada masukan dan statenya. Sedangkan keluaran Moore hanya bergantung pada statenya. Terdapat beberapa model yang digunakan untuk membantu merancang rangkaian sekuensial, salah satu yang banyak digunakan adalah Finite State Machine (FSM). Dinamakan FSM karena jumlah statenya yang mungkin terbatas dan rangkaian sekuensial bekerja mirip dengan mesin yang beroperasi dengan urutan state. Level abstraksi perancangan FSM pun bertingkat-tingkat. Pada praktikum ini digunakan level abstraksi behavioral. Pada level abstraksi ini, cukup dibuat state diagramflow-chart transisi statenya sebelum diimplementasikan dengan VHDL. Komponenkomponen yang harus ada pada state diagram adalah deklarasi input dan noutput, definisi state, transisi, dan keluarannya. 3. FPGA development board ALTERA DE1 beserta pelengkapannya yaitu o o o Board FPGA tipe DE1 Catu daya + kabel konektor tambahan Kabel downloader USB blaster Mengambil kode color_rom_vhd, vga.vhd, display_vga.vhd, dan top_level_vhd.vhd Memodifikasi kode color rom vhd untuk membuat kotak-kotak board tic tac toe dan mengedit supaya bisa dimasukkan input oleh fpga menyesuaikan port entity, signal dan port map pada display_vga dan top_level_entity sesuai dengan color_rom_vhd mengimplementasikan dengan FPGA 4. HASIL DAN ANALISIS Spesifikasi Tic Tac Toe Game tic tac toe yang dibuat merupakan multiplayer board game menggunakan board 3x3 yang nantinya diisi oleh pengguna dengan X atau O (pada game ini akan digunakan warna yang berbeda, merah dan biru). Jika salah satu pemain berhasil menyusun tiga buah bidaknya segaris (vertical, horizontal dan diagonal), maka pemain itulah yang menang. Tampilan awal game tic tac toe adalah board 3x3 seperti pada gambar di bawah ini (angka hanya untuk penomoran kotak) 1 2 3 4 5 6 3. MEDOLOGI Peralatan yang digunakan pada praktikum ini antara lain : 1. Komputer yang terinstal Quartus II 9.0 2. Monitor LCD 7 8 9 Pertama, pemain 1 akan mengisi salah satu kotak kecil dengan warna merah. Misalkan pemain 1 mengisi kotak 1, sehingga terbentuk gambar di bawah ini Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 2

2 lah yang menang. Kondisi tersebut dapat digambarkan sebagai berikut Kemudian pemain 2 akan mengisi kotak selanjutnya selain kotak yang diisi oleh kotak merah (kotak yang masih kosong) dengan warna biru. Setelah itu, maka tampilan akan menjadi seperti gambar berikut Selain itu, kondisi menang juga bisa dicapai jika terbentuk 3 buah bidak segaris vertikal. Missal pemain 1 berhasil menempati kotak 1, 4, dan 7 (terbentuk garis vertikal), maka pemain 1 menang. Contoh kondisi pemain 1 menang dengan 3 buah bidak segaris vertical Kesempatan selanjutnya, akan kembali diberikan kepada pemain 1 untuk mengisi kotak. Pemain 1 tetap mengisi kotak (yang belum terisi oleh merah maupun biru) dengan warna merah. Selanjutnya kesempatan akan diberikan kepada pemain 2, dan begitu seterusnya. Akan diperoleh kondisi menang jika terdapat 3 buah warna yang sama yang sebaris. Misal warna merah mengisi kotak 1, 2, dan 3, (terbentuk garis horizontal) maka pemain 1-lah yang menang. Kondisi tersebut digambarkan sebagai berikut Jika semua kotak sudah terisi, namun tidak ada 3 bidak dengan warna yang sama segaris, maka kondisi permainan adalah seri. Contoh salah satu kondisi seri digambarkan sebagai berikut Kondisi menang juga dapat dicapai jika terbentuk segaris warna yang sama secara diagonal. Misal warna biru mengisi baris 1, 5, dan 9, maka pemain Input/Output Untuk mengisi setiap kotak, pemain dapat menggunakan switch FPGA. Untuk SW[1] maka akan diisi kotak 1, untuk SW[2] maka akan diisi kotak 2, begitu seterusnya sampai SW[9] untuk mengisi kotak 9. Untuk mengisi kotak dengan Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 3

warna merah (pemain 1), maka tinggal menyalakan switch sesuai dengan kotak yang akan diisi. Untuk mengisi kotak dengan warna biru, maka switch yang sesuai dengan nomor kotak dinyalakan bersamaan dengan menekan tombol 0 (KEY[0]). Misalkan pemain 1 ingin mengisi kotak 1, maka pemain 1 menyalakan switch 1. Kemudian pemain 2 ingin mengisi kotak 2, maka pemain menyalakan switch 2 bersamaan dengan menekan KEY[0]. Begitu seterusnya sampai kondisi permainan selesai. Mekanisme Pengujian Pertama dilakukan pembuatan tampilan dari tic tac toe. Pengujian yang dilakukan yaitu dengan pengujian input/output. Untuk input digunakan switch dan tombol pada FPGA. Untuk Input kotak 1, maka dilakukan switch pada SW[1] dan berhasil keluar warna merah pada kotak 1. Kemudian untuk input selanjutnya pada kotak 2, kotak merah tertumpuk oleh warna putih yang disebabkan oleh kotak 1. Saat diuji, KEY[0] untuk mengganti pemain juga tidak bekerja. FSM Menerima masukan berupa 9 switch dan 1 tombol, kemudian diproses color_rom_vhd untuk mengeluarkan warna yang dikehendaki sesuai dengan letak warna yang diinginkan. VGA dan color_rom_vhd memberikan input kepada display_vhd untuk diproses menuju ke top_level_vhd. Proses Desain Pada saat percobaan 6 yang pertama, praktikan berhasil membuat tampilan tic tac toe. Ditambahkan tulisan tic-tac toe di atas board. Untuk membuat tulisan tic tac toe ini dilakukan dengan mengisi piksel-piksel dari VGA kotak demi kotak. Ditambahkan tulisan ini supaya permainan ini labih menarik. Karena untuk sebuah game tampilan yang menarik akan menjadi nilai lebih dan membuat pemain lebih tertarik untuk memainkannya. Tampilan tic tac toe yang praktikan buat seperti di bawah ini. S0 merupakan kondisi saat board masih kosong atau sudah penuh. S1 merupakan kondisi saat giliran pemain 1, dan S2 adalah kondisi giliran untuk pemain 2. Blok Diagram Blok diagram dari percobaan ini sebagai berikut Untuk game tic tac toe yang sebenarnya, untuk input dari tiap pemain adalah X dan O. Bidak untuk pemain 1 adalah X dan bidak untuk pemain 2 adalah O. Namun, untuk tic tac toe versi ini, bidak pemain 1 dan pemain 2 digunakan warna yang berbeda. Untuk pemain 1 yaitu warna merah dan untuk pemain 2 yaitu warna biru. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 4

Kemudian dilakukan pembuatan FSM. Pembuatannya memerlukan beberapa revisi. Pertama, untuk input dari user, dipilih menggunakan switch FPGA 1 sampai 9 kemudian menekan tombol 1 pada FPGA untuk menyimpan bidak yang dipasang. Namun, ternyata untuk membuat spesifikasi tersebut dengan tombol FPGA tidak semudah hanya menggunakan IF tombol 1 ditekan begitu saja. Kemudian, dilakukan revisi yang kedua. Revisi yang kedua ini masih berhubungan dengan input dari user. Untuk menginputkan pilihan user, dilakukan dengan menggunkan switch FPGA 1 sampai 9. Namun untuk mengganti pemain menggunakan switch 0. Spesifikasi yang akan dibuat adalah untuk input pemain 1, maka dilakukan switch salah satu dari SW[1]-SW[9] dengan SW[0] pada keadaan 0. Sedangkan untuk input pemain 2, maka dilakukan switch salah satu dari SW[1] SW[9] dengan SW[0] pada keaddan 1. Namun ternyata SW[0] mempengaruhi semua input dari user. Sehingga jika input dari player 1 ditekan maka akan keluar warna merah. Untuk giliran pemain2, maka switch 0 diaktifkan kemudian dilakukan input pemain 2. Setelah SW[0] diubah menjadi 0, maka input dari pemain 1 terpengaruh oleh SW[0] tersebut, sehingga berubah menjadi biru. Untuk revisi yang ketiga, diubah cara input dari user. Pada revisi ini, input dari user berdasarkan dengan switch FPGA 1-9 ditambah dengan tombol 0 (KEY[0]) pada FPGA. Untuk giliran pemain 1, maka user tinggal mengaktifkan switch yang dikehendaki sesuai dengan nomor kotak. Kemudian untuk pemain 2, dilakukan input menggunkan switch FPGA sesuai dengan nomor kotak bersamaan dengan menekan SW[0]. State S0 merupakan state awal, yaitu ketika semua pemain belum mengisi kotak. Kemudian state S1, giliran untuk pemain 1. Dengan menekan SW pada FPGA, maka isian pemain 1 akan disimpan dang ganti giliran untuk pemain 2 dengan menekan SW dan KEY[0]. 5. PEMBAGIA KERJA Pembagian kerja dibagi dua bagian besar, yaitu membuat desain yang dilakukan oleh Dyah Rahmawati dan pembuatan FSM oleh Primawan Dwi Nugroho. Namun dalam realisasinyta, kami saling bekerjasama, Primawan turut membantu tampilan juga. Gambar 5-1 Hasil Tictactoe Ditinjau dari segi tampilan, hasil tersebut sesuai dengan spesifikasi yang dibuat, yaitu munculnya 9 kotak dan tulisan judul TIC TAC TOE pada pixel-pixel yang benar sesuai yang tercantum dalam kode VHDL yang telah dijelaskan. Ditinjau dari segi fungsionalitas dan keberjalanan rancangan, hasil tersebut belum sepenuhnya memenuhi spesifikasi. Untuk player pertama, jika dia memilih kotak pertama dengan cara menekan switch1, maka kotak pertama tersebut akan diisi dengan warna merah. Hal ini sudah sesuai spesifikasi yang ada. Namun ketika tiba giliran player 2 memilih kotak, dan ia ingin mengisi pada kotak kedua misalnya, ketika switch2 ditekan bersamaan dengan push button, yang muncul pada kotak 2 adalah warna yang tertindih, sehingga kurang jelas sebenarnya warna apa. Jika sesuai spesifikasi, maka ketika switch2 (menandakan dipilihnya kotak kedua) ditekan bersamaan dengan push button (menandakan pergantian player, karena push button active low, player 1 ditandai dengan logika 1/high(tidak perlu menekan push button) dan player 2 ditandai dengan logika 0/low(harus menekan push button)) kotak kedua tersebut akan diisi dengan warna biru. Dan mekanisme tersebut akan berulang, player 1 akan memilih kotak, dan kotak akan berwarna merah, kemudian player 2 juga memilih kotak sambil menekan push button, dan kotak akan diisi dengan warna biru, dan seterusnya. Namun, pada hasil rancangan ini, setelah kotak 2 berisi warna yang tertindih, kotak ketiga dan seterusnya tidak dapat diisi warna lagi, asumsi adalah kotak kotak tersebut sudah tertindih dengan warna lain. Praktikan telah mencoba memperbaikinya namun hingga akhir waktu praktikum, praktikan belum berhasil. 6. KESESUAIAN HASIL DENGAN SPESIFIKASI Hasil perancangan gambar di bawah ini. proyek dapat dilihat pada Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 5

7. KESIMPULAN Perancangan rangkaian digital dengan proyek tictactoe ini berjalan dengan cukup lancer walaupun belum sepenuhnya sesuai ekspektasi, masih terdapat kekurangan yaitu belum memenuhi keseluruhan spesifikasi. Namun, dengan membuat proyek ini praktikan sudah dapat menspesifikasikan sistem digital sederhana. Secara keseluruhan praktikum ini berjalan dengan cukup baik. Namun, spesifikasi yang dirancang belum terealisasi sepenuhnya sehingga tictactoe belum sepenuhnya dapat dimainkan. DAFTAR PUSTAKA [1] Brown, Stephen, Fundamentals of Digital Logic with VHDL Design, McGraw-Hill, San Fransisco, 2009. [2] Hutabarat, Mervin dkk., Praktikum Sistem Digital, Laboratorium Dasar Teknik Elektro, Bandung, 2012 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 6