LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

Ukuran: px
Mulai penontonan dengan halaman:

Download "LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING"

Transkripsi

1 LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun Zulfikar, S.T., M.Sc. NIDN Hubbul Walidainy, S.T., M.T. NIDN UNIVERSITAS SYIAH KUALA NOVEMBER 2014

2 LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun Zulfikar, S.T., M.Sc. NIDN Hubbul Walidainy, S.T., M.T. NIDN UNIVERSITAS SYIAH KUALA NOVEMBER 2014

3

4 RINGKASAN Perkembangan teknologi integrated circuit (IC) yang kian pesat dan kebutuhan akan bertambahnya informasi yang dapat disajikan dalam sebuah perangkat komputasi elektronik dewasa ini telah mendorong para peneliti untuk menemukan cara menghemat area yang terpakai oleh rangkaian komputasi dalam sebuah IC. Penelitian ini bertujuan menerapkan metode baru dengan cara reduksi wordlengths untuk menghemat area dari suatu IC guna meningkatkan kinerja dari perangkat komputasi elektronik. Dengan berkurangnya wordlengths, maka area yang dibutuhkan dalam sebuah IC untuk rangkaian komputasi akan semakin kecil. Pada penelitian ini, untuk tahun pertama dipilih rangkaian pembangkit bilangan random jenis berdasarkan algoritma Linear Congruential Generator (LCG) sebagai target untuk diefisiensikan. Rangkaian tersebut dirancang dengan menggunakan blok-blok dasar operasi aritmatika seperti penambah, pengurang dan pengali. Tahapan awal dari teknik reduksi wordlength yang diajukan telah berhasil diaplikasikan pada rangkaian tersebut. Rangkaian pembangkitan bilangan random 8 bit dan teknik perancangannya disajikan secara detail. Hasil simulasi behavior, synthesis, simulasi waktu dan perbandingan penerapan terhadapa beberapa chip FPGA dari Xilinx dipaparkan pada bab 5. Hasil awal dari penelitian ini telah dipublikasikan pada jurnal internasional IJECCE edisi Juli-Agustus Dan hasil lanjutan telah diterima pada seminar internasional ICCEI Hasil lanjutan ini lebih efisien dari rancangan sebelumnya. Dengan demikian penelitian ini telah mencapai tujuan keseluruhan. Keywords: Integrated Circuit, Penghematan Area, Reduksi Wordlengths, VHDL, FPGA, Linear Congruential Generator i

5 PRAKATA Penelitian ini bermaksud untuk menghemat area suatu IC dari perangkat komputasi elektronik dengan harapan kinerja perangkat tersebut semakin meningkat. Penelitian ini memakai menerapkan teknik reduksi/ pengurangan wordlength dari rangkaian pembangkitan bilangan random. Diharapakan area yang dibutuhkan dalam sebuah IC untuk rangkaian bilangan random semakin kecil. Adapun Metode dan tahapan penelitian yang digunakan adalah sebagai berikut: Studi Literatur, mempelajari beberapa rangkaian aritmatika kompleks yang akan dijadikan sasaran penelitian. Implementasi Software, pemodelan rangkaian-rangkaian target ke dalam hardware melalui program VHDL akan dilakukan. Beberapa program simulasi telah dipilih, antara lain Xilinx ISE dan Quartus Altera. Perbandingan, bersama dengan rancangan metode baru, akan disimulasikan juga rangkaian-rangkaian aritmatika konvensional yang telah dipakai saat ini. Jika area dari rangkaian dengan metode baru tidak lebih hemat, maka akan dilakukan pemrograman ulang. Perbandingan akan dilakukan melalui software dari Xilinx dan Altera. Pengembangan Lanjut, setelah diimplementasikan ke FPGA, akan dikaji kemungkinan penghematan lebih lanjut terhadap rangkaian yang dipilih. Jika memungkinkan akan dilakukan dan dimulai pemrograman ulang. Penulis mengucapkan terima kasih yang sebesar-besarnya kepada pihak-pihak yang telah membantu terlaksananya penelitian ini. ii

6 DAFTAR ISI RINGKASAN i PRAKATA ii DAFTAR ISI iii DAFTAR TABEL v DAFTAR GAMBAR vi DAFTAR LAMPIRAN vii BAB I. PENDAHULUAN 1 BAB II. STUDI PUSTAKA VHDL Paket Library IEEE untuk Konversi Bilangan Linear Congruential Generator 4 BAB III. TUJUAN DAN MANFAAT PENELITIAN Tujuan Penelitian Mamfaat Penelitian 5 BAB IV. METODE PENELITIAN 6 BAB V. HASIL YANG DICAPAI Desain Rangkaian LCG Rangkaian Umum dari LCG Reduksi Wordlengths Implementasi dan Analisa Simulasi Behavior Hasil Synthesis Simulasi Waktu Perbandingan Desain LCG Efisien Rangkaian Perbandingan 15 BAB VI. RENCANA TAHAPAN BERIKUTNYA 18 BAB VII. KESIMPULAN DAN SARAN 19 iii

7 DAFTAR PUSTAKA 20 iv

8 DAFTAR TABEL Tabel I. Daftar perintah konversi bilangan antara integer, signed dan unsigned 4 Tabel II. Daftar perintah konversi bilangan antara standard logic vector, signed dan unsigned 4 Tabel III. Perbandingan frekuensi maksimum diantara chip-chip Xilinx 13 Tabel IV. Perbandingan area yang dibutuhkan diantara chip-chip Xilinx 14 Tabel V. Perbandingan area yang diperlukan diantara chip-chip Xilinx 15 Tabel VI. Perbandingan maksimum frekuensi diantara chip-chip Xilinx 16 Tabel VII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain sebelumnya) 16 Tabel VIII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain baru) 16 Tabel IX. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain sebelumnya) 17 Tabel X. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain baru) 17 Tabel XI. Perhitungan area berdasarkan hasil synthesis untuk modulus 32 bit (desain sebelumnya) 17 Tabel XII. Perhitungan area berdasarkan hasil synthesis untuk modulus 31 bit (desain baru) 17 v

9 DAFTAR GAMBAR Gambar 4.1 Fishbone diagram metode penelitian 6 Gambar 5.1 Blok diagram operasi LCG 8 Gambar 5.2 Rangkaian umum dari LCG 9 Gambar 5.3 Rangkaian sinyal pengendali untuk rangkaian LCG 9 Gambar 5.4 Reduksi wordlength pada blok pengali 10 Gambar 5.5 Reduksi wordlength pada blok penambah 10 Gambar 5.6 Hasil dari simulasi behavior dengan m=255, seed=7, a=3, c=1 11 Gambar 5.7 Hasil dari simulasi behavior dengan m =2 16-1, seed=7, a=3, c=1 11 Gambar 5.8 Hasil dari simulasi behavior dengan m = , seed=7, a=3, c=1 11 Gambar 5.9 Pegamatan lebih dekat dari simulasi waktu 12 Gambar 5.10 Desain rangkaian yang di ajukan untuk efisiensi area lebih lanjut (n=8) 14 Gambar 5.11 Desain wordlengths pada blok pengali 15 Gambar 5.12 Desain wordlengths pada blok penambah 15 vi

10 DAFTAR LAMPIRAN LAMPIRAN I: BIODATA KETUA TIM PENELITI 21 LAMPIRAN II: BIODATA ANGGOTA TIM PENELITI 24 LAMPIRAN III: Publikasi Artikel pada Jurnal Internasional 26 LAMPIRAN IV: Publikasi Artikel pada Seminar Internasional 32 vii

11 BAB 1 PENDAHULUAN Sekarang ini banyak rangkaian elektronika diimplementasikan kedalam sebuah Integrated Circuit (IC). Kecendrungan untuk masa yang akan datang semakin banyak rangkaian elektronika diimplementasikan kedalam IC, hal ini dikarenakan faktor biaya produksi yang murah jika diproduksi dalam jumlah yang banyak. Seiring dengan itu, tuntutan akan semakin besarnya rangkaian elektronika ntuk suatu aplikasi tertentu mendorong para peneliti untuk menemukan cara yang lebih efisien untuk merealisasikan suatu rangkaian elektronika kedalam sebuah IC. Salah satu faktor efisiensi yang sangat penting dalam merealisasikan suatu rangkaian elektronika kedalam sebuah IC adalah area (besarnya ukuran IC). Sebagai contoh, sebuah telepon genggam produksi sekarang ini mempunyai kemampuan berlipat ganda jika dibandingkan dengan telepon genggam produksi 10 tahun yang lalu dengan ukuran yang sama tentunya, hal ini salah satunya dikarenakan efisiensi area pada IC. Kapasitas IC di dalam telepon genggam produksi sekarang ini jauh lebih besar walaupun dengan ukuran (dimensi) yang sama dengan sebelumnya. Hal ini bergantung dari teknologi terbaru pembuatan rangkaian terintegrasi. Penghematan area dari suatu IC lebih lanjut juga bisa dicapai dengan menyederhanakan rangkaian komputasi elektronik. Rangkaian tersebut digunakan untuk perhitungan-perhitungan seperti penjumlahan, pengurangan, pembagian, perkalian dan lain-lain. Penghematan rangkaian ini akan lebih terasa jika diterapakan pada perhitungan lebih dari satu tingkatan (komplek). Metode yang akan digunakan adalah reduksi wordlengths (lebar kata). Dengan diterapkannya metode ini, diharapkan area yang terpakai didalam suatu IC menjadi lebih kecil. Sehingga suatu IC dengan ukuran yang sama bisa menampung lebih banyak rangkaian untuk aplikasi lain. Pada penelitian ini, untuk tahun pertama dipilih rangkaian pembangkit bilangan random sebagai target untuk diefisiensikan. Bilangan random telah digunakan dalam kehidupan sehari-hari sejak jaman dahulu kala. Sekarang ini, sebuah mainan anak-anak yang harganya murah pun sudah terdapat rangkaian bilangan random didalamnya. Sebagai contoh, sebuah telepon mainan akan berbunyi berbedabeda jika tombol yang sama di tekan lebih dari satu kali. 1

12 Beberapa teori bilangan random telah diperkenalkan sejak bebrapa dasawarsa terakhir. Sebuah teori tentang Linear Congruential Generator (LCG) telah diperkenalkan oleh Lehmer (D H Lehmer.1954). Teori ini merupakan salah satu teori tertua dan sangat banyak dipakai dengan prinsip Pseudorandom Number Generator (PNG) (Wikipedia.org. Linear ). Park dan Miller memberikan suatu kontribusi yang sangat bagus untuk LCG (S K Park dkk. 1988). Berkat kontribusi ini, teknik pembangkitan bilangan random ini digunakan pada MATLAB (Numerical Computing.2008). Banyak pembangkit bilangan random lain yang telah diperkenalkan dan digunakan dalam berbagai aplikasi. Beberapa teknik tersebut adalah Blum Shub, Wichmann-Hill, Complementary multiply with carry, Inversive congruential generator, ISAAC (cipher), Lagged Fibonacci generator, Linear feedback shift register, Maximal periodic reciprocals, Mersenne twister, Multiply-with-carry, Naor-Reingold Pseudorandom Function, RC4 PRGA, Well Equidistributed Long-period Linear, dan Xorshift (wikipedia.org. List of , N Harald. 1992, A Note of , Wolfram Mathematica. 2008). Hardware (perangkat keras) untuk pembangkitan bilanagan random tersedia sebagaimana tersedianya algoritmanya. Hardware tersebut telah digunakan sejak tahun Produk hardware keluaran LETech adalah yang tercepat diantara semua hardware untuk pembangkitan bilangan random. Produk ini telah dikembangkan sejak tahun 2008 ( 2014, wikipedia.org. Comparison ). Penelitian untuk menemukan algoritma yang sesuai dalam hal pembangkitan bilangan random merupakan bidang yang eksis sampai sekarang. Banyak peneliti menggunakan Field Programmable Logic Array (FPGA) untuk pengetesan dan pengujian ide-ide baru. Beberapa diantaranya telah direalisasikan kedalam hardware dan dijual di pasaran (wikipedia.org. List of , wikipedia.org. Comparison ). Pada awalnya, algoritma dari LCG digabungkan dengan teknik Monte Carlo telah digunakan untuk membangkitkan bilangan random non uniform menggunakan MATLAB (Zulfikar. 2009). Setelah itu, kami mengembangkan rangkaian pembangkit bilanagn random dan mengimplementasikan kedalan FPGA (Zulfikar. 2014). Pada publikasi tersebut faktor increment c diabaikan (c=0). Pada tahap awal penelitian ini telah berhasil dikembangkan teknik pembangkitan bilangan random tanpa mengabaikan faktor increment c (Zulfikar dan H Walidainy. 2014). 2

13 BAB 2 TINJAUAN PUSTAKA 2.1 VHDL Very High Speed Integrated Circuit Hardware Description Language (VHDL) adalah suatu bahasa pemrograman untuk menjelaskan penggunaan hardware (perangkat keras) pada perangkat electronic design automation (EDA). Bahasa ini digunakan untuk menjelaskan sistem pensinyalan digital dan pensinyalan gabungan seperti IC dan Field Programmable Gate Arrays (FPGA) (M Anis dkk. 2009, P P Chu. 2006, S Hauck dkk. 2007, S Kilts. 2007). Secara umum, program VHDL digunakan untuk menuliskan modul-modul berbasis teks yang menjelaskan sebuah rangkaian logika. Kemudian diperlukan suatu simulasi untuk menguji rancangan logika tersebut. Untuk hal ini, dibutuhkan file tambahan yang disebut dengan testbench. Beberapa vendor FPGA menyediakan fitur testbench yang lebih mudah digunakan yang disajikan dalam bentuk Graphical User Interface (GUI) (P P Chu. 2006, S Hauck dkk. 2007). Xilinx ISE, Altera Quartus, Synopsys Symplify dan Menthor Graphic adalah sebagian software (perangkat lunak) yang sering digunakan untuk FPGA. Paket software ini hanya memerlukan program VHDL dan testbench nya saja. 2.2 Paket Library IEEE untuk Konversi Bilangan Setiap program VHDL harus mempunyai paling tidak satu library. Library tersebut merupakan library dasar yang berisikan informasi dari semua gerbang-gerbang dasar yang dibutuhkan untuk pengimplementasian FPGA. Untuk rangkaian yang besar dan melibatkan perhitungan aritmatika yang komplek, akan lebih baik dan mudah jika semua operasi perhitungan dilakukan dalam format bilangan integer. Namun hal ini membutuhkan perhatian khusus dalam memprogram koneksi-koneksi antar blok rangkaian dasar. Jika dilakukan dengan tepat, penghematan area yang optimal akan didapatkan. Banyak vendor pihak ketiga menyediakan paket/ library untuk mempermudah perhitungan aritmatika. IEEE juga menyediakan library tersebut yang diberi nama Numeric_std (IEEE.NUMERIC_STD.ALL). Paket library tersebut menyediakan dua cara mengkonversi bilangan antara integer dan standard logic vector baik melalui format signed atau unsigned. Untuk tujuan perhitungan aritmatika tertentu, operasi-operasi perhitungan juga dapat dilakukan hanya dalam format signed 3

14 atau unsigned saja. Tabel I menunjukkan perintah-perintah pengkonversian bilangan-bilangan antara format integer, signed dan unsigned. Sementara itu, tabel II menampilkan daftar perintah untuk mengkonversi antara format unsigned, signed dan standard logic vector. Dengan menggabungkan perintah-perintah yang ada pada kedua table tersebut, kita bisa melakukan konversi langsung antara format standard logic vector dengan integer ( 2013). Table I. Daftar perintah konversi bilangan antara integer, signed dan unsigned Dari/ ke Integer Signed Unsigned Integer - to_signed(,length) to_unsigned(,length) Signed to_integer( ) - unsigned( ) Unsigned to_integer( ) signed( ) - Tabel II. Daftar perintah konversi bilangan antara standard logic vector, signed dan unsigned Dari/ ke Standard logic vector Signed Unsigned Standard logic vector - signed( ) unsigned( ) Signed std_logic_vector ( ) - unsigned( ) Unsigned std_logic_vector ( ) unsigned( ) Linear Congruential Generator Terdapat sebuah metode yang sangat popular dan paling sering digunakan untuk membangkitkan bilangan random yang disebut LCG. Ide dari metode ini telah diperkenalkan oleh Lehmer sesuai dengan rumus sekuensial berikut ini (D H Lehmer.1954): X n 1 ( ax n c) mod m (1) Dimana m adalah modulus, a adalah pengali, dan c adalah increment (penambah). Parameterparameter a, c dan m harus dipilih dengan sangat hati-hati untuk menghindari pengulangan dari bilangan yang sama sebelum m (N Harald. 1992, A Note of , Wolfram Mathematica. 2008). Saran yang diberikan oleh Park dan Miller dengan memilih c=0 akan memberikan hasil yang bagus (S K Park dkk. 1988). Modulus m harus merupakan bilangan primer yang besar, pengali a adalah integer dengan batasan 2, 3,..., m-1. Panjang siklus dari LCG tidak akan melebihi modulus m, tetapi dapat dimaksimalkan dengan menggunakan tiga kondisi berikut ini (A Note of , D E Knuth. 2002): c adalah bilangan prima yang berhubungan dengan modulus m. Pengali a 1 adalah faktor kali dari setiap pembagi modulus m. Pengali a 1 adalah faktor kali dari empat ketika modulus m adalah faktor kali dari empat. 4

15 BAB 3 TUJUAN DAN MANFAAT PENELITIAN 3.1 Tujuan Penelitian Penelitian ini secara umum bertujuan untuk menghemat area dari suatu IC dari perangkat komputasi elektronik. Diharapkan kinerja perangkat komputasi elektronik tersebut semakin meningkat. Penelitian ini akan menggunakan teknik reduksi wordlengths dari rangkaian komputasi aritmatika. Dengan berkurangnya wordlengths, maka area yang dibutuhkan dalam sebuah IC untuk fungsi yang sama akan semakin kecil. Pada tahap awal, penelitian ini bertujuan khusus untuk: 1. Melakukan analisis statistik terhadap semua wordlengths yang digunakan dari rangkaian komputasi elektronik. 2. Mereduksi wordlengths dari rangkaian komputasi elektronik tersebut. 3. Membandingkan area dari rangkaian komputasi elektronik yang telah direduksi wordlengths nya dengan area dari rangkaian komputasi elektronik konvensional. Pada tahap lanjutan, penelitian ini bertujuan khusus untuk: 1. Melakukan analisis statistik secara mendalam terhadap semua sinyal-sinyal (data) yang mungkin digunakan untuk masukan ke rangkaian komputasi elektronik. 2. Mereduksi wordlengths lebih lanjut, sehingga dicapai hasil yang lebih optimal dari sebelumnya. 3. Mendokumentasikan dan mendiseminasikan hasil penelitian tersebut kepada pihak terkait baik di tingkat nasional maupun internasional. 3.2 Mamfaat Penelitian Suatu perangkat elektronik akan lebih bernilai jual jika dilengkapi dengan berbagai macam aplikasi atau fungsi tambahan. Hal ini senada dengan perkembangan teknologi perangkat elektronik selama ini, dimana makin banyak (beragam) aplikasi tambahan yang dimasukkan kedalam suatu perangkat elektronik dengan ukuran atau dimensi yang sama dengan sebelumnya. IC merupakan komponen utama tempat aplikasi-aplikasi disematkan. Jadi kebutuhan untuk menghemat area IC menjadi keharusan. Dengan penghematan area IC maka akan ada ruang untuk menambahkan rangkaian untuk aplikasi yang lain. 5

16 BAB 4 METODE PENELITIAN Penulis telah menginisialisasi penelitian ini dengan mempublikasikan konsep dasar reduksi wordlengths dari rangkaian aritmatika. Hasil implementasi konsep desain kedalam FPGA menunjukkan bahwa area yang dibutuhkan lebih sedikit dibandingkan dengan metode konvensional (Zulfikar. 2012). Metode penelitian yang digunakan untuk penghematan area dari IC dengan metode reduksi wordlengths tertuang dalam fishbone diagram (diagram tulang ikan) seperti terlihat pada Gambar 4.1. Gambar 4.1 Fishbone diagram metode penelitian Berikut adalah penjelasan metode penelitian yang tersusun seperti pada gambar 4.1: Studi Literatur, pada tahap awal, penulis akan mendalami beberapa rangkaian aritmatika komplek yang akan dijadikan sasaran penelitian. Setiap tahun akan dipilih 1 (satu) buah rangkaian. Studi statistik terhadap aplikasi rangkaian tersebut akan dilakukan secara mendalam. Mendalami program bahasa pemrograman hardware VHDL dan teknologi FPGA (Xilinx, Altera) terbaru untuk menjalankan metode yang direncanakan terhadap rangkaian yang telah ditentukan. Implementasi Software, pemodelan rangkaian-rangkaian target kedalam hardware memlalui program VHDL akan dilakukan. Beberapa program simulasi telah dipilih, 6

17 antara lain: Modelsim, Xilinx ISE dan Quartus Altera. Konsep konversi bilangan sangat membantu dalam penerapan. Perbandingan, bersama dengan rancangan metode baru, akan disimulasikan juga rangkaian-rangkaian aritmatika konvensional yang telah dipakai saat ini. Jika area dari rangkaian dengan metode baru tidak lebih hemat, maka akan dilakukan pemrograman ulang. Perbandingan akan dilakukan melalui software dari Xilinx dan Altera. Implementasi Hardware, urutan proses untuk mengimplementasikan rangkaian yang dirancang kedalam hardware FPGA adalah: Translation, Mapping, Place & Route, Program Generation, dan Downloading. Pengujian Hardware, untuk pengujian ini akan dilakukan beberapa tahapan seperti: pengujian visual, pengujian dengan alat ukur dan pengujian akan dilakukan untuk berbagai macam kemungkinan. Pengembangan Lanjut, setelah diimplementasikan ke FPGA, akan dikaji kemungkinan penghematan lebih lanjut terhadap rangkaian yang dipilih. Jika memungkinkan akan dilakukan dan dimulai pemrograman ulang. Metode penelitian yang telah dijelaskan diatas adalah untuk satu tahapan proses dari suatu rangkaian komputasi elektronik. Hal ini ditargetkan akan selesai selama 1 (satu) tahun. Untuk tahun ke 2 dan ke 3, proses seperti pada fishbone diagram tersebut akan diulang dari awal. Pada tahun ke 2 dan ke 3, implementasi dan pengujian juga akan dilakukan pada software Quartus Altera. Hal ini dilakukan untuk menjustifikasi metode yang telah dirancang dengan berbagai kemungkinan yang tidak didapatkan pada implementasi rangkaian di tahun pertama. Jumlah publikasi setiap tahunnya adalah 2 (dua). Publikasi pertama sekitar bulan Agustus September, publikasi kedua pada akhir tahun. Publikasi pertama baru bisa dilakukan setelah tahapan Pengujian Hardware. Setelah dilakukan pengembangan untuk penghematan lanjutan, diharapkan hasil penelitian akan lebih baik, sehingga publikasi kedua akan layak untuk dimuat pada jurnal baik ditingkat nasional maupun internasional. Indikator capaian penelitian ini berdasarkan perbandingan dengan metode konvensional. Jika area yang diperlukan lebih sedikit dari area yang dibutuhkan oleh metode konvensional, maka penelitian ini dinilai berhasil. Indikator capaian kedua adalah diterimanya hasil penelitian ini pada seminar dan jurnal ilmiah. 7

18 BAB 5 HASIL DAN PEMBAHASAN Penelitian ini dimulai pada awal tahun Saat ini target dari penelitian ini telah tercapai 100%. Tujuan tahap awal dari penelitian ini telah tercapai. Hal ini diperkuat dengan diterima dan telah dipublikasi hasil awal penelitian ini pada jurnal internasional. Kemudian, Tujuan tahap lanjutan dari penelitian ini juga telah berhasil dicapai dengan baik. Hasil capaian ini telah ditulis dalam bentuk artikel ilmiah dan telah diterima pada seminar internasional. Berikut akan dipaparkan beberapa rancangan desain rangkaian bilangan random dan hasil-hasil dari eksekusi terhadap desain tersebut kedalam FPGA. 5.1 Desain Rangkaian LCG Rangkaian Umum dari LCG Gambar 5.1 menunjukkan menunjukkan blok diagram umum dari LCG (seed diabaikan). Rangkaian tersebut membutuhkan blok-blok pengali, penambah, pembanding dan pengurang. Blok pengali digunakan untuk mengalikan nilai acak sebelumnya (X) dengan a. Kemudian hasilnya ditambahkan dengan increment c. Langkah selanjutnya membandingkan dengan modulus m. Hasilnya dianggap sebagai bilangan random jika lebih kecil dengan m. Sebaliknya, jika hasilnya lebih besar dari m, maka dikurangi dengan m. Bilangan hasil pengurangan ini kemudian dianggap sebagai bilangan random. Gambar 5.1 Blok diagram operasi LCG Blok diagram pada gambar 5.1 melibatkan operasi aritmatik seperti perkalian, penambahan, pengurangan, dan pembandingan. Untuk menyederhanakan proses, rangakain dirancang dengan menggunakan teknik reduksi wordlength seperti yang telah di sarankan sebelumnya (Zulfikar. 2012). Dengan demikian, blok pengurang dan pembanding dapat dihilangkan, rangkaian modifikasi seperti terlihat pada gambar

19 Gambar 5.2 Rangkaian umum dari LCG Rangkaian yang dirancang tersebut terdiri-dari sebuah multiplexer (pemilih), sebuah pengali, sebuah penambah, sebuah buffer (penyimpan sementara) yang bisa di clear kan isinya, dan tiga buah buffer yang dikendalikan oleh sinyal kontrol enable. Port-port masukan seed, A dan C digunakan untuk memasukkan nilai inisial awal, mengalikan dan menambahkan ke rangkaian. Sementara port O digunakan untuk menarik keluar bilangan-bilangan random yang dihasilkan. Rangkaian dikendalikan oleh dua buah sinyal kontrol yaitu enable dan reset. Saat kondisi awal, sinyal reset haru menjadi HIGH (enable = LOW) untuk meng clear kan nilai yang tersimpan sebelumnya di dalam buffer B4. Sinyal enable menentukan kapan operasi harus dimulai. Nilai inisial awal (seed), increment dan pengali harus sudah ada pada port-port masukan sebelum sinyal enable menjadi HIGH (reset = LOW). Kemudian, setiap kali clock menjadi HIGH, sebuah bilangan random dihasilkan. Gambar 5.3 menunjukkan konfigurasi rangkaian dari sinyal-sinyal kontrol tersebut. Gambar 5.3 Rangkaian sinyal pengendali untuk rangkaian LCG Reduksi Wordlength Rangkain pada gambar 5.2 dapat digunakan untuk wordlength berapa saja. Pengurangan wordlength harus direncanakan dengan sebaik mungkin untuk menghindari hasil dari operasi yang tidak diinginkan. Sebagai contoh, rangkaian LCG didesain untuk digunakan pada nilai maksimum wordlength adalah 8 bit, konfigurasi net (jalur) dari blok pengali dan blok penambah ditunjukkan pada gambar 5.4 dan gambar

20 Gambar 5.4 Reduksi wordlength pada blok pengali Gambar 5.5 Reduksi wordlength pada blok penambah Berdasarkan aturan aritmatika dan untuk kepentingan penghematam area, hasil perkalian dari B4 (8 bit) dan B1 (8 bit) akan membutuhkan 15 bit untuk menampung nilai hasil perkalian. Pada rancangan, kami mengabaikan (tidak menghubungkan) tujuh net tertinggi dari X(8) sampai X(14) seperti terlihat pada gambar 5.4. Hal yang sama juga dapat dilakukan terhadap net dari penambah. Pada kasus penambah, hanya satu net (M(8)) yang diabaikan, hal ini seperti terlihat pada gambar Implementasi dan Analisa Desain rangkaian LCG telah diimplementasikan kedalam FPGA. Hasil dari simulasi behavior dan waktu serta synthesis disajikan. Analisa dan perbandingan telah dilakukan. Rangkain pada gambar 5.2 telah diimplementasikan kedalam program FPGA. Simulasi behavior telah dijalankan menggunakan Xilinx ISE design suite. Beberapa data penting hasil dari synthesis di tunjukkan. Perbandingan area dan kecepatan telah dilakukan terhadap beberapa chip Xilinx Simulasi Behavior Gambar 5.6 menunjukkan hasil dari simulasi behavior dengan modulus m=255, seed=7, pengali a=3 dan increment c=1. Dapat dilihat bahwa bilangan-bilangan yang dihasilkan adalah acak dari 7 sampai dengan

21 Gambar 5.6 Hasil dari simulasi behavior dengan m=255, seed=7, a=3, c=1 Gambar 5.7 dan gambar 5.8 menunjukkan hasil simulasi behavoir dengan modulus m= dan m= secara berurutan. Dapat dilihat bahwa semua bilangan yang dihasilkan tidak ada yang melebihi modulus. Gambar 5.7 Hasil dari simulasi behavior dengan m =2 16-1, seed=7, a=3, c=1 Gambar 5.8 Hasil dari simulasi behavior dengan m = , seed=7, a=3, c= Hasil Synthesis Berikut ini adalah beberapa data penting hasil synthesis dari rangkaian yang dirancang dengan menggunakan modulus m=255 terhadap chip Xilinx Virtex 7: HDL Synthesis Report Macro Statistics # Multipliers : 1 8x8-bit multiplier : 1 # Adders/Subtractors : 1 16-bit adder : 1 # Registers : 4 8-bit register : 4 # Multiplexers : 1 8-bit 2-to-1 multiplexer : Slice Logic Utilization: Number of Slice Registers: 16 out of % Number of Slice LUTs: 10 out of % 11

22 Number used as Logic: 10 out of % Slice Logic Distribution: Number of LUT Flip Flop pairs used: 17 Number with an unused Flip Flop: 1 out of 17 5% Number with an unused LUT: 7 out of 17 41% Number of fully used LUT-FF pairs: 9 out of 17 52% Number of unique control sets: Minimum period: 3.710ns (Maximum Frequency: MHz) Minimum input arrival time before clock: 2.023ns Maximum output required time after clock: 0.575ns Maximum combinational path delay: No path found Dari laporan hasil synthesis dapat dilihat bahwa rangkaian yang dirancang membutuhkan sebuah pengali 8x8 bit, sebuah penambah 16 bit, empat buah register 8 bit, dan sebuah multiplekser 2-ke-1 8 bit. Dalam area, dapat dilihat dari kebutuhan slice yaitu 16 slice register dan 10 slice LUT. Penyebaran dari slice logic dari total 19 adalah 9 untuk penggunaan penuh pasangan LUT-FF, 7 untuk LUT yang tidak digunakan dan 1 untuk flip-flop yang tidak digunakan. Rangkaian juga membutuhkan 2 set kontrol khusus. Kecepatan dari rangkaian yang dirancang adalah maksimum 270 MHz ketika diimplementasikan kedalam chip Virtex 7. Waktu antar kedatangan minimum sebelum clock adalah 2,023 ns. Maksudnya adalah data harus sudah berada pada input masukan sebelum waktu tersebut tercapai. Waktu maksimum yang dibutuhkan untuk menampilkan data pada output adalah 0,5775 ns setelah clock Simulasi Waktu Gambar 5.9 menunjukkan close look dari simulasi waktu. Disana ada beberapa glitch ketika bilangan berubah dari 67 ke 202. Ini terjadi karena waktu dari pinggir clock ke pad-pad output bervariasi. Variasinya berkisar antara 9,399 ns samapai 9,818 ns. Gambar 5.9 Pegamatan lebih dekat dari simulasi waktu 12

23 5.2.4 Perbandingan Empat buah chip Xilinx telah dipilih untuk perbandingan kecepatan dan area dari rangkaian yang dirancang. Tabel III memperlihatkan perbandingan dari kecepatan maksimum untuk rangkaian yang diimplementasikan dengan modulus m=255 (8bit), m= (16bit) dan m= (31 bit) terhadap chip Virtex 7, Spartan 6, Kintex 7 dan Zynq. Tabel III. Perbandingan frekuensi maksimum diantara chip-chip Xilinx Jenis Chip Frekuensi Maksimum (MHz) 8 bit 16 bit 31 bit Virtex Spartan Kintex Zynq Untuk modulus m=255, chip yang paling cepat adalah Kintex 7 dan chip yang paling lambat adalah Spartan 6. Akan tetapi, untuk modulus m=2 16-1, chip yang paling cepat adalah Zynq. Secara umum, Kintex 7 adalah chip yang cepat dan Spartan 6 adalah yang lambat. Tabel IV memperlihatkan perbandingan area dari keempat chip yang disebutkan sebelumnya. Dapat dilihat bahwa semua chip membutuhkan area yang sama untuk wordlength yang sama tentunya. Untuk modulus m=255, chip-chip membutuhkan 16 slice dan 10 LUT. Area yang dibutuhkan menjadi dua kali lipat ketika diimplementasikan dengan modulus 16 bit. Sementara ketika modulus diganti dengan 31 bit, area yang dibutukan semua chip meningkat sekitar 3 kali lipat. Tabel IV. Perbandingan area yang dibutuhkan diantara chip-chip Xilinx Area yang dibutuhkan Jenis Chip 8 bit 16 bit 31 bit Slices LUTs Slices LUTs Slices LUTs Virtex Spartan Kintex Zynq

24 5.3 Desain LCG Efisien Gambar 5.2 memperlihatkan rancangan LCG sebelumnya (Zulfikar dkk. 2014). Rangkaian tersebut menggunakan wordlengths yang sama yaitu n untuk perhubungan antar blokblok. Rangkaian tersebut terdiri dari (asumsi modulus = 2 n ): Sebuah n x n-bit multiplier Sebuah n-bit 2-to-1 multiplexer Sebuah n-bit adder 3 x n enable buffers (B1, B2, B3) n buffers (B4) Untuk aplikasi tertentu, rangkaian tersebut dapat ditingkatkan (diefisienkan) lebih lanjut. Dengan menggunakan blok-blok rangkaian yang sama, Kami mengajukan sebuah rangkaian yang areanya lebih efisien. Desain ini membutuhkan beberapa asumsi Rangkaian Rancangan berdasarkan pada kenyataan bahwa dalam aplikasi hanya menggunakan pengali dan penambah dengan angka tertentu saja ( Linear...). Sebagai contoh, kita merancang sebuah rangkaian untuk modulus 8-bit. Diasumsikan bahwa wordlengths dari pengali menggunakan 3-bit dan wordlengths dari penambah adalah 2-bit. Gambar 5.10 menunjukkan rangkaian modifikasi dari rancangan sebelumnya. Gambar 5.10 Desain rangkaian yang di ajukan untuk efisiensi area lebih lanjut (n=8) Desain rangkaian sebelumnya menggunakan wordlengths yang sama disemua koneksi. Oleh karena itu, blok pengali harus di terapkan dengan rangkaian 8x8-bit (asumsi n=8). Namun, desain terakhir membutuhkan lebih sedikit rangkaian. Gambar 5.11 memperlihatkan konfigurasi hubungan dari blok pengali. 14

25 Gambar 5.11 Desain wordlengths pada blok pengali Berdasarkan rule aritmatika dan untuk mengurangi area (Zulfikar dkk FPGA Based... ), perkalian dari B4 (8 bit) dan B1 (3 bit) akan memerlukan 10 bit. Pada rancangan, kami abaikan (tidak dihubungkan) kedua net (kabel) tertinggi X(8) dan X(9). Begitu juga dengan blok penambah, penambahan dari X (8 bit) dan B2 (2 bit) akan membutuhkan 9 bit. Pada kasus ini hanya sebuah net M(8) yang diabaikan seperti diperlihatkan pada gambar Gambar 5.12 Desain wordlengths pada blok penambah Perbandingan Empat jenis chip Xilinx dipilih untuk perbandingan kecepatan dan area antara desain baru dengan dengan desain sebelumnya. Tabel V memperlihatkan perbandingan area yang dibutuhkan untuk modulus m=2 8 (8 bit), m=2 16 (16 bit) dan m=2 31 (31 bit) pada chip Virtex 7, Spartan 6, Kintex 7 dan Zynq. Tabel V. Perbandingan area yang diperlukan diantara chip-chip Xilinx Area Occupies Chips 8 bit (Slices/LUTs) 16 bit (Slices/LUTs) 31 bit (Slices/LUTs) [13] Proposed [13] Proposed [13] Proposed Virtex 7 16/10 19/30 32/18 36/62 92/63 66/122 Spartan 6 16/10 19/30 32/18 33/19 92/63 67/122 Kintex 7 16/10 19/30 32/18 35/62 92/63 65/122 Zynq 16/10 19/30 32/18 35/62 92/63 65/122 15

26 Tabel VI memperlihatkan perbandinagn kecepatan dari rancangan baru dan sebelumnya. Dapat dilihat bahwa, desain terakhir lebih cepat. Frekuensi maksimum yang dapat diraih adalah bervariasi dari 154 MHz sampai 411 MHz. Dengan meningkatnya wordlenths, frekuensi maksimum menurun. Spartan 6 adalah chip yang paling lambat, Kintex 7 dan Zynq adalah yang terbaik dalam hal ini. Tabel VI. Perbandingan maksimum frekuensi diantara chip-chip Xilinx Maximum Frequency (MHz) Chips 8 bit 16 bit 31 bit [13] Proposed [13] Proposed [13] Proposed Virtex Spartan Kintex Zynq Dalam rangka membuat perbandingan yang lebih detail, area dari rangkaian yang dirancang terhadap yang sebelumnya di implementasikan ulang, di analisa, dan dilakukan beberapa perhitungan dari hasil synthesis. Tabel VII sampai dengan Tabel XII menjelaskan lebih terhadap perbandingan area. Area di representatsikan dalam hal penggunaan flip-flop dan full adder. Dari tabel VII dan VIII, jumlah Flip-flop dan adder dari rangkaian yang didesain terakhir kira-kira setengah dari yang sebelumnya. Rangkaian tersebut akan lebih efisien lagi jika di rancang untuk modulus yang lebih tinggi seperti terlihat pada tabel IX, tabel X untuk m=2 16 dan tabel XI, tabel XII untuk m=2 31. Tabel VII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain sebelumnya) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 8x8-bit 1-64 Adders 16-bit 1-16 Registers 8-bit Total Tabel VIII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain baru) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 8x3-bit 1-24 Adders 11-bit 1-11 Registers 8-bit bit bit Total

27 Tabel IX. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain sebelumnya) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 16x16-bit Adders 32-bit 1-32 Registers 16-bit 4 64 Total Tabel X. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain baru) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 17x3-bit 1-51 Adders 20-bit 1-20 Registers 17-bit bit bit bit Total Tabel XI. Perhitungan area berdasarkan hasil synthesis untuk modulus 32 bit (desain sebelumnya) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 31x31-bit Adders 32-bit 1-32 Registers 31-bit Total Tabel XII. Perhitungan area berdasarkan hasil synthesis untuk modulus 31 bit (desain baru) Circuits Bit Size Counts Flip-Flops Full Adders Multipliers 31x3-bit 1-93 Adders 32-bit 1-32 Registers 31-bit bit bit Total Rancangan baru diturunkan dari kebiasaan dari data masukan ke sistem, dia dapat bervariasi berdasarkan aplikasi LCG. Yang pasti suatu hal yang dapat dipelajari disini adalah disana ada peluang untuk mengurangi area yang terpakai dan meningkatkan kecepatan apapun aplikasinya. 17

28 BAB 6 RENCANA TAHAPAN BERIKUTNYA Penelitian penghematan area dari rangkaian komputasi elektronik direncanakan akan selesai setelah 3 tahun. Pada tahun pertama (2014), penelitian ini mempunyai dua tahapan tujuan yaitu tahap awal dan tahap lanjutan. Kedua tahapan tersebut telah tercapai dengan baik. Tahun berikutnya (2015), peneliti berencana memilih rangkaian transformasi Fourier. Sebagaimana pada tahun yang telah berjalan, penelitian penghematan area terhadap rangkaian transformasi fourier juga akan dijabarkan kedalam dua tahapan. Tahapan awal terdiri dari langkah-langkah sebagai berikut: 1. Melakukan analisis statistik terhadap semua wordlengths yang digunakan dari rangkaian transformasi Fourier jenis Discrete Fourier Transform (DFT). 2. Mereduksi wordlengths dari rangkaian tersebut. 3. Membandingkan area dari rangkaian DFT yang telah direduksi wordlengths nya dengan area dari rangkaian DFT konvensional dan yang diajukan orang lain. Tahapan lanjutan terdiri dari langkah-langkah sebagai berikut: 1. Melakukan analisis secara statistik secara mendalam terhadap semua data-data yang mungkin digunakan untuk masukan ke rangkaian DFT. 2. Dari analisa tersebut, akan dirancang rangkaian DFT modifikasi. Hal ini bertujuan untuk mencapai hasil yang lebih optimal. 3. Mendokumentasikan dalam bentuk laporan penelitian dan mendiseminasikan hasil rancangan lanjutan tersebut pada jurnal internasional. 18

29 BAB 7 KESIMPULAN DAN SARAN Tujuan awal dan lanjutan dari penelitian ini pada tahun 2014 telah tercapai. Rangkaian yang dipilih adalah LCG yang digunakan untuk pembangkitan bilangan random. Rancangan dan implementasi dari LCG kedalam FPGA telah berhasil dilakukan. Hasil awal dari penelitian ini telah dipublikasikan pada jurnal internasional IJECCE edisi juli-agustus Rancangan yang lebih efisien telah berhasil dirancang. Dari hasil perbandingan, rangkaian modifikasi jauh lebih efisien dalam hal area dan kecepatan dibandingkan dengan yang didesain pada tahapan awal. Hasil penelitian dari desain yang terakhir telah di terima pada seminar internasional ICCEI

30 DAFTAR PUSTAKA A note on random number generation. September Christophe Dutang dan Diethelm Wuertz. D E Knuth The Art of Computer Programming: seminumerical algorithms. Vol. 2, Edisi Ketiga. Massachusetts: Addison-Wesley. D H Lehmer Random number generation on the BRL high speed computing machines. Oleh M L Juncosa. Math. Rev. 15, 559 F Severance System Modeling and Simulation. John Wiley & Sons, Ltd. p Comparison of hardware random number generators. 10 Maret List of random number generators, 11 Maret Linear congruential generator, 10 Maret Genuine Random Number Generator (GRANG). 10 Maret. M Anis dkk Low-Power Design of Nanometer FPGAs: Architecture and EDA. Morgan Kaufmann. N Harald Random Number Generation and Quasi-Monte Carlo Methods. Society for lndustrial and Applied Mathematics. Philadelphia. Numerical Computing with MATLAB By Cleve B. Moler, SIAM. P P Chu RTL Hardware Design Using VHDL: Coding for Efficiency, Portability, and Scalability. Jhon Wiley and Sons. S Hauck dkk Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation. Morgan Kaufmann. S K Park dan K W Miller (1988). Random number generators: good ones are hard to find. Association for Computing Machinery. 31(10). pp: S Kilts Advanced FPGA Design: Architecture, Implementation, and Optimization. Wiley-IEEE Press. Wolfram Mathematica Tutorial Collection Random Number Generation VHDL Vector Arithmetic using Numeric_std. 8 th March. Zulfikar Generating Non Uniform Random Numbers Using Residue and Rejection Methods. Jurnal Rekayasa Elektrika. Vol. 8 No. 2. Zulfikar FPGA Implementations of Uniform Random Number based on Residue Method. Jurnal Rekayasa Elektrika. Vol. 11 No. 1. Zulfikar Novel Area Optimization in FPGA Implementation Using Efficient VHDL Code. Jurnal Rekayasa Elektrika. Vol. 10 No. 2. Zulfikar dkk FPGA Based Complete Set of Walsh and Inverse Walsh Transforms for Signal Processing. Jurnal Electronics and Electrical Engineering. Vol.18. No. 8. Pp: 3-8. Zulfikar dkk Design and Implementations of Linear Congruential Generator into FPGA. International Journal of Electronics Communication and Computer Engineering. Vol. 5, Issue 4. Pp:

31 LAMPIRAN I: BIODATA KETUA TIM PENELITI A. Identitas Diri 1 Nama Lengkap (dengan gelar) Zulfikar, S.T., M.Sc. 2 Jenis Kelamin L 3 Jabatan Fungsional Lektor 4 NIP NIDN Tempat dan Tanggal Lahir Kampung Sentosa, 20 Juli zulfikarsafrina@yahoo.co.id 8 Nomor Telepon/HP Alamat Kantor Jl Syech Abdurrauf, Darussalam 10 Nomor Telepon/Faks Lulusan yang Telah Dihasilkan S-1= 3 orang; S-2= - orang; S-3= - orang 1. Elektronika Digital 2. Perancangan VLSI 12. Mata Kuliah yang diampu 3. Elektronika Industri 4. Teknik Digital 5. Elektronika Telekomunikasi B. Riwayat Pendidikan S-1 S-2 Nama Perguruan Tinggi Universitas Sumatera Utara King Saud University Bidang Ilmu Teknik Elektro Teknik Elektro Tahun Masuk-Lulus Judul Skripsi/Thesis Pengalokasian pita suara dan data ke dalam jaringan B- ISDN An Intellectual Property Core for Spectrum Analysis, Synthesis and Processing of Periodic Multiple Digital Signals Nama Pembimbing/Promotor Ir. Zulfin, M.T. Prof. Shuja A Abbasi C. Pengalaman Penelitian Dalam 5 Tahun Terakhir No. Tahun Judul Penelitian Metode Efisiensi Area Integrated Circuit (IC) dengan Reduksi Wordlengths untuk Meningkat kan Kinerja Perangkat Komputasi Elektronik Pendanaan Sumber Jml (Juta Rp) DIPA An Advanced Application Specific IC Research NPST Development of a MATLAB based Silicon Process Technology Simulator KSU 80 KSU : King Saud University NPST: National Plant for Sciences Technology, Saudi Arabia 21

32 D. Pengalaman Pengabdian Kepada Masyarakat dalam 5 Tahun Terakhir No. Tahun Judul Pengabdian Kepada Masyarakat Pembinaan kelistrikan untuk keamanan dan penghematan energi kepada masyarakat Gampong Mon Mata Kecamatan Lhong Aceh Besar Pendanaan Sumber Jml (Juta Rp) Mandiri 5, Studi UKL-UPL Pembangunan PLTMH Bergang BRR Pelatihan Pengelolaan Manajemen Laboratorium Komputer SMA di Propinsi Nanggroe Aceh Darussalam BRR 180 E. Publikasi Artikel Ilmiah Dalam Jurnal Dalam 5 Tahun Terakhir No. Judul Artikel Ilmiah 1 2 FPGA Implementation of Uniform Random Number Using Residue and Rejection Method Design of Linear Congruential Generator based on Wordlengths Reduction Technique into FPGA 3 Processing of Multiple Digital Signals Based on Real-time Walsh Transform 4 FPGA Based Complete Set of Walsh and Inverse Walsh Transforms for Signal Processing 5 Novel Area Optimization in FPGA Implementation Using Efficient VHDL Code 6 Design and Implementation of an Improved Arbitrary Waveform Generator Based on Walsh Functions 7 Perancangan Pengontrolan Traffic Light Otomatis Nama Jurnal Jurnal Rekayasa Elektrika International Journal of Electronics Communication Computer Engineering International Journal of Electrical and Computer Engineering Electronics and Electrical Engineering Rekayasa Elektrika International Journal of Physical Sciences Volume/Nomor/ Tahun Volume 11 / Nomor 1 / Tahun 2014 Volume 5 / Nomor 4 / Tahun 2014 Volume 3 / Nomor 2 / Tahun 2013 Volume 18 / Nomor 8 / Tahun 2012 Volume 10 / Nomor 2 / Tahun 2012 Volume 7 / Nomor 10 / Tahun 2012 Rekayasa Elektrika Volume 9 / Nomor 3 / Tahun 2011 F. Pemakalah Seminar Ilmiah (Oral Presentation) dalam 5 Tahun Terakhir No. Nama Pertemuan Ilmiah / Seminar Judul Artikel Ilmiah Waktu dan Tempat 1 IEEE International Conference on Electrical Control & Computer Engineering (INECCE 2011) FPGA Based Processing of Digital Signals using Walsh Analysis Juni 2011, Pahang, Malaysia 22

33 2 IEEE International Conference on Advances in Computing, Control and Telecommunication Technologies (ACT 2010) FPGA Based Analysis and Multiplication of Digital Signals 2 3 Desember 2010, Jakarta G. Karya Buku dalam 5 Tahun Terakhir No. Judul Buku Tahun Jumlah Halaman 1 Penerbit H. Perolehan HKI dalam 5 10 Tahun Terakhir No. Judul/Tema HKI Tahun Jenis Nomor P/ID 1 I. Pengalaman Merumuskan Kebijakan Publik/Rekayasa Sosial Lainnya dalam 5 Tahun Terakhir No. Judul/Tema/Jenis Rekayasa Sosial Lainnya yang Telah Diterapkan Tahun Tempat Penerapan Respon Masyarakat 1 J. Penghargaan dalam 10 tahun Terakhir (dari pemerintah, asosiasi atau institusi lainnya) No. Jenis Penghargaan Institusi Pemberi Penghargaan Tahun 1 Semua data yang saya isikan dan tercantum dalam biodata ini adalah benar dan dapat dipertanggungjawabkan secara hukum. Apabila di kemudian hari ternyata dijumpai ketidaksesuaian dengan kenyataan, saya sanggup menerima sanksi. Demikian biodata ini saya buat dengan sebenarnya untuk memenuhi salah satu persyaratan dalam pengajuan Hibah Penelitian Bersaing Banda Aceh, 28 November 2014 Ketua, 23 (Zulfikar)

34 LAMPIRAN II: BIODATA ANGGOTA TIM PENELITI A. Identitas Diri 1 Nama lengkap (dengan gelar) Hubbul Walidainy, ST, MT 2 Jenis Kelamin L 3 Jabatan Fungsional Lektor 4 NIP NIDN Tempat dan Tanggal Lahir Banda Aceh, 26 agustus hwalidainy@yahoo.com 8 Nomor Telepon/HP Alamat Kantor Jl Syech Abdurrauf no 7, Darussalam 10 Nomor Telepon/Faks 11 Lulusan yang Telah Dihasilkan S-1 = 32 orang; S-2 = orang; S-3 = orang 12 Mata Kuliah yang Diampu 1. Dasar Telekomunikasi 2. Kalkulus 1 3. Elektronika Telekomunikasi 4. Analisis Numerik B. Riwayat Pendidikan S1 S2 Nama Perguruan Tinggi Univ. Gadjah Mada Univ. Gadjah Mada Bidang Ilmu Teknik Elektro Teknik Elektro Tahun Masuk-Lulus Judul Skripsi/Tesis/Disertasi Studi Kelayakan UMTS Proses Adaptif untuk Menghapus Gema Nama Pembimbing/Promotor Adhi Soesanto, MSc, PhD Prof. Adhi Soesanto, MSc, PhD C. Pengalaman Penelitian Dalam 5 Tahun Terakhir No Tahun Judul Pendanaan Sumber Jml (Juta Rp) Laboratorium Eksperimen: Pengaturan Tinggi DIPA 15 Permukaan Cairan pada Tangki Secara Otomatis Menggunakan UniTrain Berbasis PID D. Pengalaman Pengabdian Kepada Masyarakat dalam 5 Tahun Terakhir No Tahun Judul Pendanaan Sumber Jml (Juta Rp) Metode Efisiensi Area Integrated Circuit (IC) dengan Reduksi Wordlengths untuk DIPA 46 Meningkat kan Kinerja Perangkat Komputasi Elektronik Pelatihan Dasar Antena Dan Fiber Optik Untuk Siswa SMK Telkom di Kota Banda Aceh Mandiri 8 24

35 E. Publikasi Artikel Ilmiah Dalam Jurnal dalam 5 Tahun Terakhir No Judul Artikel Ilmiah Nama Jurnal Volume/ Nomor/Tahun 1 Design of Linear Congruential Generator based on Wordlengths Reduction Technique into FPGA 2 Analisa Kegagalan Call pada BTS Flexy di PT Telkom Kandatel Banda Aceh International Journal of Electronics Communication Computer Engineering Jurnal Rekayasa Elektrika Volume 5 / Nomor 4 / Tahun 2014 Vol 9, no 1, april 2010 F. Pemakalah Seminar Ilmiah (Oral Presentation) dalam 5 Tahun Terakhir No Nama Pertemuan Ilmiah /Seminar Judul Artikel Ilmiah 1 SNETE 2011 Analisis Network Error Pada Jaringan Lokal Akses Tembaga (JARLOKAT) Studi Kasus di Sto Kancatel Lhokseumawe Waktu dan Tempat 24 oktober 2011 Semua data yang saya isikan dan tercantum dalam biodata ini adalah benar dan dapat dipertanggungjawabkan secara hukum. Apabila di kemudian hari ternyata dijumpai ketidaksesuaian dengan kenyataan, saya sanggup menerima risikonya. Demikian biodata ini saya buat dengan sebenarnya untuk memenuhi salah satu persyaratan dalam pengajuan Hibah Penelitian Bersaing. Banda Aceh, 28 November 2014 Anggota I, (Hubbul Walidainy) 25

36 LAMPIRAN III: Publikasi Artikel pada Jurnal Internasional Berikut adalah artikel yang telah dipublikasi pada Internasional Journal of Electronics Communication and Computer Engineering (IJECCE), Volume 5, Issue 4, Juli-Agustus

37 International Journal of Electronics Communication and Computer Engineering Volume 5, Issue 4, ISSN (Online): X, ISSN (Print): Design and Implementations of Linear Congruential Generator into FPGA Zulfikar Department of Electrical Engineering Syiah Kuala University, Banda Aceh 23111, Indonesia Hubbul Walidainy Department of Electrical Engineering Syiah Kuala University, Banda Aceh 23111, Indonesia Abstract This paper exposes circuit design of linear congruential generator (LCG) and implementation in FPGA. The circuit is derived from LCG algorithm proposed by Lehmer. Wordlengths reduction technique has been used to simplify the circuit. Several nets connection among the blocks of the circuit are ignored or disconnected. Simulation either behavior or timing have been done successfully. Four best Xilinx chips are chosen to gather comparison data of maximum speed and area occupied. Kintex 7 is the fastest chip among all it is about 309 MHz and Spartan 6 is slowest one which is only 73 MHz. The area occupied is similar among all of the selected chips. Keywords Linear Congruential Generator, FPGA, Xilinx, word lengths reduction, Kintex. 1 I. INTRODUCTION Random numbers have been used in daily activities since long times ago. Nowadays, a small and cheap kid s toy containing a random number circuit inside it. For example, in a toy like (that mimic) mobile phone will ring different types of sound when the same button is pressed more than one time. Several random numbers theory have been introduced in the last several decades. Linear congruential generator (LCG) that introduced 1954 by Lehmer [1] is the oldest and the most widely used pseudorandom number generator (PNG) [2]. Park & Miller suggest good parameters for LCG [3]. The suggestion is used in Matlab for generating uniform random numbers [4]. Many other random number generators have been proposed and also used in many applications. Blum BlumShub, Wichmann-Hill, Complementary multiply with carry, Inversivecongruential generator, ISAAC (cipher), Lagged Fibonacci generator, Linear feedback shift register, Maximal periodic reciprocals, Mersenne twister, Multiply-with-carry, Naor-Reingold Pseudorandom Function, RC4 PRGA, Well Equidistributed Long-period Linear, and Xorshift are some of the well-known methods [5]-[8]. Hardware for generating random number also available as well as its algorithm. The hardware have been used since LETech is the fastest among all hardware for computing random numbers, this hardware has been developed since 2008 [9], [10]. Research for finding the suitable algorithm of generating random number is well establish field until now. Many researchers use field programmable gate arrays (FPGA) The authors gratefully acknowledge the financial support from Research Center of Syiah Kuala University, Indonesia under project Hibah Bersaing. Copyright 2014 IJECCE, All right reserved 809 for testing their ideas. Several of these has been realized into hardware and sell into the market [5],[10]. Initially, the algorithm of LCG combined with MonteCarlo method has been used for generating non uniform random numbers using Matlab [11]. After that, we develop the circuit of random number generator and implemented in FPGA [12]. In the paper, the increment factor (c) has been ignored (c = 0). Beside, we present novel design and FPGA implementation of LCG algorithm without ignoring the increment. The rest of this work report are organized as follows. Section II deals with theory of LCG algorithm. The design circuit of LCG for FPGA implementation and nets connections are covered in section III. Section IV provides some implementations data and analysis. Finally, the conclusions are viewed in section V. II. LINEAR CONGRUENTIAL GENERATOR There is a popular method and most used to generate random number called linear congruential generator. The idea was introduced by Lehmer according to sequential formula in (1) [1]. X n 1 ( ax n c) mod m (1) Where m is modulus, a is multiplier, c is increment. Parameters a, c and m have to be chosen carefully in order to avoid repetition of similar numbers before m [6]-[8]. Park & Miller suggested a good results will be obtained by choosing c=0 [3]. The modulus m should be a large prime integer, multiplier a will be an integer in the range 2, 3,..., m-1. The cycle length of LCG will never exceed modulus m, but it can be maximized using three following conditions [7], [13]: c is relatively prime to modulus m, multiplier a-1 is a multiple of every dividing modulus m, multiplier a-1 is a multiple of four when modulus m is a multiple of four. III. LCG CIRCUIT DESIGN A. General Circuit of LCG Fig.1 shows block diagram LCG operation in general (seed is ignored). It requires multiplier, adder, comparator and subtractor blocks. Multiplier is used to multiple previous random value X with a, then add with increment c. After that it is compared to modulus m. The number is considered as random number if it is smaller or equal to m. Otherwise, the number then subtracted with m. The result of this is then consider as random number.

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582 ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH Hendra Setiawan 1*, Fahmi Nugraha 1 1 Program Studi Teknik Elektro, Fakultas Teknologi Industri, Universitas Islam Indonesia Jl. Kaliurang km.14.5,

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

PROGRAM. Ketua. Anggota Tim

PROGRAM. Ketua. Anggota Tim PETUNJUK TEKNIS SISTEMATIKA PENYUSUNAN LAPORAN KEMAJUAN KEGIATAN PENGABDIAN KEPADA MASYARAKAT PROGRAM PRIORITAS UNIVERSITAS PADJADJARAN a. Sampul Muka LAPORAN KEMAJUAN PROGRAM PRIORITAS UNIVERSITAS PADJADJARAN

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Perancangan dan Simulasi Autotuning PID Controller Menggunakan Metoda Relay Feedback pada PLC Modicon M340. Renzy Richie /

Perancangan dan Simulasi Autotuning PID Controller Menggunakan Metoda Relay Feedback pada PLC Modicon M340. Renzy Richie / Perancangan dan Simulasi Autotuning PID Controller Menggunakan Metoda Relay Feedback pada PLC Modicon M340 Renzy Richie / 0622049 Email : renzyrichie@live.com Jurusan Teknik Elektro, Fakultas Teknik, Universitas

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1

PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1 PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1 Thomas Dosen Jurusan Teknik Elektro-FTI, Universitas

Lebih terperinci

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE Engelin SJ Pengaruh Sifat Inversi. PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE (CMOS) STATIK 4-BIT Engelin Shintadewi Julian 1) 1) Department of Electrical Engineering,

Lebih terperinci

APLIKASI RANDOM BANK SOAL UJIAN NASIONAL SEKOLAH DASAR MENGGUNAKAN METODE LINEAR CONGRUENTIAL GENERATORS (LCG)

APLIKASI RANDOM BANK SOAL UJIAN NASIONAL SEKOLAH DASAR MENGGUNAKAN METODE LINEAR CONGRUENTIAL GENERATORS (LCG) APLIKASI RANDOM BANK SOAL UJIAN NASIONAL SEKOLAH DASAR MENGGUNAKAN METODE LINEAR CONGRUENTIAL GENERATORS (LCG) Budanis Dwi Meilani 1), Maslu Ailik 2) Jurusan Teknik Informatika, Fakultas Teknologi Informasi

Lebih terperinci

PERANCANGAN PERANGKAT LUNAK KRIPTOGRAFI VISUAL TANPA EKSPANSI PIKSEL DAN ALGORITMA RLE

PERANCANGAN PERANGKAT LUNAK KRIPTOGRAFI VISUAL TANPA EKSPANSI PIKSEL DAN ALGORITMA RLE PERANCANGAN PERANGKAT LUNAK KRIPTOGRAFI VISUAL TANPA EKSPANSI PIKSEL DAN ALGORITMA RLE Dhina Bangkit Kumalasari Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha Jl. Prof.Drg.Suria

Lebih terperinci

ANALISIS ALGORITMA BABY-STEP GIANT-STEP DAN POHLIG-HELLMAN UNTUK MENYELESAIKAN MASALAH LOGARITMA DISKRIT SKRIPSI ETTY WINITA ROISKA SIMBOLON

ANALISIS ALGORITMA BABY-STEP GIANT-STEP DAN POHLIG-HELLMAN UNTUK MENYELESAIKAN MASALAH LOGARITMA DISKRIT SKRIPSI ETTY WINITA ROISKA SIMBOLON ANALISIS ALGORITMA BABY-STEP GIANT-STEP DAN POHLIG-HELLMAN UNTUK MENYELESAIKAN MASALAH LOGARITMA DISKRIT SKRIPSI ETTY WINITA ROISKA SIMBOLON 090803073 DEPARTEMEN MATEMATIKA FAKULTAS MATEMATIKA DAN ILMU

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI. Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : ABSTRAK

REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI. Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : ABSTRAK REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : 0222051 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria Sumantri, MPH no.65, Bandung,

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

Realisasi Perangkat Pemungutan Suara Nirkabel Berbasis Mikrokontroler

Realisasi Perangkat Pemungutan Suara Nirkabel Berbasis Mikrokontroler Realisasi Perangkat Pemungutan Suara Nirkabel Berbasis Mikrokontroler Disusun Oleh: Nama : Gugi Setiawan NRP : 0922014 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria Sumantri, MPH no. 65,

Lebih terperinci

Realisasi Optical Orthogonal Codes (OOC) dengan korelasi maksimum satu Menggunakan Kode Prima Yang Dimodifikasi

Realisasi Optical Orthogonal Codes (OOC) dengan korelasi maksimum satu Menggunakan Kode Prima Yang Dimodifikasi Realisasi Optical Orthogonal Codes (OOC) dengan korelasi maksimum satu Menggunakan Kode Prima Yang Dimodifikasi Marthin Singaga / 0322115 E-mail : sinaga_marthin@yahoo.com Jurusan Teknik Elektro, Fakultas

Lebih terperinci

IMPLEMENTASI KONTROL PID PADA PENDULUM TERBALIK MENGGUNAKAN PENGONTROL MIKRO AVR ATMEGA 16 ABSTRAK

IMPLEMENTASI KONTROL PID PADA PENDULUM TERBALIK MENGGUNAKAN PENGONTROL MIKRO AVR ATMEGA 16 ABSTRAK IMPLEMENTASI KONTROL PID PADA PENDULUM TERBALIK MENGGUNAKAN PENGONTROL MIKRO AVR ATMEGA 16 Disusun Oleh: Nama : Earline Ignacia Sutanto NRP : 0622012 Jurusan Teknik Elektro, Fakultas Teknik, Universitas

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL Disusun oleh : Nama : David NRP : 0522107 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof. Drg.

Lebih terperinci

RIWAYAT HIDUP DOSEN. S-1 S-2 S-3 Institut Teknologi Bandung Bidang Ilmu Matematika Matematika Tahun Masuk-Lulus

RIWAYAT HIDUP DOSEN. S-1 S-2 S-3 Institut Teknologi Bandung Bidang Ilmu Matematika Matematika Tahun Masuk-Lulus RIWAYAT HIDUP DOSEN A. Identitas Diri Nama Lengkap (dengan gelar) Dra. Rini Marwati, M.S. Jenis Kelamin Perempuan Jabatan Fungsional Lektor Kepala NIP 0 0 0 00 NIDN 0000 Tempat, Tanggal Lahir Bandung,

Lebih terperinci

DAFTAR ISI.. LEMBAR PENGESAHAN SURAT PERNYATAAN ABSTRAK.. ABSTRACT... DAFTAR TABEL.. DAFTAR PERSAMAAN..

DAFTAR ISI.. LEMBAR PENGESAHAN SURAT PERNYATAAN ABSTRAK.. ABSTRACT... DAFTAR TABEL.. DAFTAR PERSAMAAN.. ABSTRAK Perkembangan teknologi yang semakin pesat, membuat semakin sedikitnya suatu industri yang memakai operator dalam menjalankan suatu proses produksi. Pada saat ini, kontrol otomatis lebih banyak

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

OTOMATISASI PENGARAHAN KAMERA BERDASARKAN ARAH SUMBER SUARA PADA VIDEO CONFERENCE

OTOMATISASI PENGARAHAN KAMERA BERDASARKAN ARAH SUMBER SUARA PADA VIDEO CONFERENCE OTOMATISASI PENGARAHAN KAMERA BERDASARKAN ARAH SUMBER SUARA PADA VIDEO CONFERENCE Disusun Oleh : Nama : Jan Sebastian Nrp : 0522008 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria Sumantri,

Lebih terperinci

PENERJEMAH FILE MUSIK BEREKSTENSI WAV KE NOT ANGKA. Albertus D Yonathan A / ABSTRAK

PENERJEMAH FILE MUSIK BEREKSTENSI WAV KE NOT ANGKA. Albertus D Yonathan A / ABSTRAK PENERJEMAH FILE MUSIK BEREKSTENSI WAV KE NOT ANGKA Albertus D Yonathan A / 0422001 y0y02k4@gmail.com Jurusan Teknik Elektro, Fakultas Teknik, Jalan Prof. Drg. Suria Sumantri 65 Bandung 40164, Indonesia

Lebih terperinci

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 Nama : Wito Chandra NRP : 0822081 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu

Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu Ferdian Thung 13507127 Program Studi Teknik Informatika ITB, Jalan Ganesha 10 Bandung, Jawa Barat, email: if17127@students.if.itb.ac.id

Lebih terperinci

ALAT BANTU PARKIR MOBIL BERBASIS MIKROKONTROLER ATMEGA16. Disusun Oleh : Nama : Venda Luntungan Nrp :

ALAT BANTU PARKIR MOBIL BERBASIS MIKROKONTROLER ATMEGA16. Disusun Oleh : Nama : Venda Luntungan Nrp : ALAT BANTU PARKIR MOBIL BERBASIS MIKROKONTROLER ATMEGA16 Disusun Oleh : Nama : Venda Luntungan Nrp : 0522108 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha, Jl. Prof.Drg.Suria Sumantri,

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC Okthavianus Bayu Pamungkas *), Munawar Agus Riyadi, and Maman Somantri Departemen

Lebih terperinci

Simulasi Estimasi Arah Kedatangan Dua Dimensi Sinyal menggunakan Metode Propagator dengan Dua Sensor Array Paralel

Simulasi Estimasi Arah Kedatangan Dua Dimensi Sinyal menggunakan Metode Propagator dengan Dua Sensor Array Paralel ABSTRAK Simulasi Estimasi Arah Kedatangan Dua Dimensi Sinyal menggunakan Metode Propagator dengan Dua Sensor Array Paralel Disusun oleh : Enrico Lukiman (1122084) Jurusan Teknik Elektro, Fakultas Teknik,

Lebih terperinci

APLIKASI PERINTAH SUARA UNTUK MENGGERAKKAN ROBOT. Disusun Oleh : Nama : Astron Adrian Nrp :

APLIKASI PERINTAH SUARA UNTUK MENGGERAKKAN ROBOT. Disusun Oleh : Nama : Astron Adrian Nrp : APLIKASI PERINTAH SUARA UNTUK MENGGERAKKAN ROBOT Disusun Oleh : Nama : Astron Adrian Nrp : 0422014 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria Sumantri, MPH no.65, Bandung, Indonesia.

Lebih terperinci

KOMPRESI CITRA MENGGUNAKAN COMPRESSED SENSING BERBASIS BLOK

KOMPRESI CITRA MENGGUNAKAN COMPRESSED SENSING BERBASIS BLOK KOMPRESI CITRA MENGGUNAKAN COMPRESSED SENSING BERBASIS BLOK Disusun Oleh : Ardyan Lawrence (1022068) Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof. Drg. Suria Sumantri, MPH No.65, Bandung, Indonesia.

Lebih terperinci

Konferensi Nasional Sistem dan Informatika 2011; Bali, November 12, 2011

Konferensi Nasional Sistem dan Informatika 2011; Bali, November 12, 2011 IMPLEMENTASI ALGORITMA SNOW 2.0 DALAM FIELD PROGRAMMABLE GATE ARRAY (FPGA) SEBAGAI CRYPTOPROCESSOR ENKRIPSI/DEKRIPSI DATA PADA FPGA XILINX SPARTAN 3E XC3S500E Agus Mahardika Ari Laksmono, Mohamad Syahral

Lebih terperinci

PENGENDALI PINTU MENGGUNAKAN ALAT PENGENDALI TV JARAK JAUH

PENGENDALI PINTU MENGGUNAKAN ALAT PENGENDALI TV JARAK JAUH PENGENDALI PINTU MENGGUNAKAN ALAT PENGENDALI TV JARAK JAUH Marvin Chandra Wijaya dan Semuil Tjiharjadi Universitas Kristen Maranatha, Bandung Jurusan Sistem Komputer Department of Computer Engineering,

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Simulasi Pengontrol Intensitas Cahaya Pada Lahan Parkir P2a Bekasi Cyber Park Dengan Kontrol On-Off

Simulasi Pengontrol Intensitas Cahaya Pada Lahan Parkir P2a Bekasi Cyber Park Dengan Kontrol On-Off Simulasi Pengontrol Intensitas Cahaya Pada Lahan Parkir P2a Bekasi Cyber Park Dengan Kontrol On-Off Disusun Oleh: David Putra (0922020) Jurusan Teknik Elektro, Fakultas Teknik Universitas Kristen Maranatha

Lebih terperinci

JURNAL TEKNOLOGI INFORMASI & PENDIDIKAN ISSN : VOL. 6 NO. 2 September 2013

JURNAL TEKNOLOGI INFORMASI & PENDIDIKAN ISSN : VOL. 6 NO. 2 September 2013 APPLIKASI PERANGKAT LUNAK SIMULASI SEBAGAI ALAT BANTU UNTUK MEMPELAJARI RANGKAIAN KONVERTER DAYA Asnil 1 ABSTRACT Power Electronics is one of the most important fields of electrical engineering. Power

Lebih terperinci

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Fikri Aulia, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan, S.T., MT. Abstrak FPGA merupakan IC yang dapat

Lebih terperinci

SISTEM E-KTM MULTIFUNGSI MENGGUNAKAN SMART CARD PADA APLIKASI BERBASIS DATABASE

SISTEM E-KTM MULTIFUNGSI MENGGUNAKAN SMART CARD PADA APLIKASI BERBASIS DATABASE SISTEM E-KTM MULTIFUNGSI MENGGUNAKAN SMART CARD PADA APLIKASI BERBASIS DATABASE Nama : Heru Djulianto Purnama NRP : 0922046 Jurusan Teknik Elektro, Fakultas Teknik, Jl. Prof.Drg.Suria Sumantri, MPH no

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PERANCANGAN DAN REALISASI SISTEM PEMILIHAN SUARA MENGGUNAKAN WIFI DENGAN IP STATIS ABSTRAK

PERANCANGAN DAN REALISASI SISTEM PEMILIHAN SUARA MENGGUNAKAN WIFI DENGAN IP STATIS ABSTRAK PERANCANGAN DAN REALISASI SISTEM PEMILIHAN SUARA MENGGUNAKAN WIFI DENGAN IP STATIS Adhitya Putra Pamungkas NRP: 1122020 Program Studi Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha, Jl.

Lebih terperinci

REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI

REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI Disusun Oleh : Reshandaru Puri Pambudi 0522038 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

Lampiran 1. Format Justifikasi Anggaran

Lampiran 1. Format Justifikasi Anggaran Lampiran. Format Justifikasi Anggaran Lampiran. Justifikasi Anggaran. Honor Pelaksana Jumlah Honor (Rp.). Ketua. Anggot a Sub total. Peralatan Penunjang dan bahan habis pakai ATK, dll Nama Barang Justifikasi

Lebih terperinci

SPECTRUM ANALYZER BERBASIS MIKROKONTROLER DENGAN PENCUPLIKAN SECARA PARALEL

SPECTRUM ANALYZER BERBASIS MIKROKONTROLER DENGAN PENCUPLIKAN SECARA PARALEL SPECTRUM ANALYZER BERBASIS MIKROKONTROLER DENGAN PENCUPLIKAN SECARA PARALEL ABSTRAK Lukas N.B. Marbun (0722009) Jurusan Teknik Elektro Universitas Kristen Maranatha Email : lukasnbmarbun@gmail.com Harmonisa

Lebih terperinci

PERANCANGAN DIVIDER 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC

PERANCANGAN DIVIDER 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC PERANCANGAN DIVIDER 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC Rizko Prasada Fitriansyah *), Munawar Agus Riyadi, and Muhammad Arfan Departemen Teknik Elektro, Universitas Diponegoro,

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

PENGENDALI PINTU GESER BERDASARKAN KECEPATAN JALAN PENGUNJUNG BERBASIS MIKROKONTROLER ATMEGA 16. Disusun Oleh : Nama : Henry Georgy Nrp :

PENGENDALI PINTU GESER BERDASARKAN KECEPATAN JALAN PENGUNJUNG BERBASIS MIKROKONTROLER ATMEGA 16. Disusun Oleh : Nama : Henry Georgy Nrp : PENGENDALI PINTU GESER BERDASARKAN KECEPATAN JALAN PENGUNJUNG BERBASIS MIKROKONTROLER ATMEGA 16 Disusun Oleh : Nama : Henry Georgy Nrp : 0522127 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria

Lebih terperinci

Watermarking Citra Digital Berwarna Dalam Domain Discrete Cosine Transform (DCT) Menggunakan Teknik Direct Sequence Spread Spectrum (DSSS)

Watermarking Citra Digital Berwarna Dalam Domain Discrete Cosine Transform (DCT) Menggunakan Teknik Direct Sequence Spread Spectrum (DSSS) Watermarking Citra Digital Berwarna Dalam Domain Discrete Cosine Transform (DCT) Menggunakan Teknik Direct Sequence Spread Spectrum (DSSS) Sesto Sumurung (0722077) Email: sesto.sianturi@gmail.com Jurusan

Lebih terperinci

Perancangan Remote Control Terpadu untuk Pengaturan Fasilitas Kamar Hotel

Perancangan Remote Control Terpadu untuk Pengaturan Fasilitas Kamar Hotel Perancangan Remote Control Terpadu untuk Pengaturan Nama Fasilitas Kamar Hotel Disusun oleh: : Indra Ardian NRP : 1022037 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha, Jl. Prof.Drg.Suria

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

Penerapan Logika Samar dalam Peramalan Data Runtun Waktu

Penerapan Logika Samar dalam Peramalan Data Runtun Waktu Penerapan Logika Samar dalam Peramalan Data Runtun Waktu Seng Hansun Program Studi Teknik Informatika, Universitas Multimedia Nusantara, Tangerang, Indonesia hansun@umn.ac.id Abstract Recently, there are

Lebih terperinci

ABSTRAK. Kata kunci: diagram kelas, xml, java, kode sumber, sinkronisasi. v Universitas Kristen Maranatha

ABSTRAK. Kata kunci: diagram kelas, xml, java, kode sumber, sinkronisasi. v Universitas Kristen Maranatha ABSTRAK Salah satu bidang kajian dalam bidang teknologi informasi adalah rekayasa perangkat lunak. Dalam rekayasa perangkat lunak, terdapat konsep yang mendasari berbagai jenis metodologi pengembangan

Lebih terperinci

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA BAB I PENDAHULUAN I.1 Latar Belakang Teknologi komunikasi wireless saat ini berkembang dengan pesat seiring meningkatnya kebutuhan pengguna terhadap layanan yang cepat dan beragam. Hal ini terlihat dari

Lebih terperinci

II Protokol Remote Link II Protokol Modbus II Request Read N Bits. 16 II Request Read N Words. 16 II

II Protokol Remote Link II Protokol Modbus II Request Read N Bits. 16 II Request Read N Words. 16 II ABSTRAK Perkembangan dalam bidang industri dewasa ini semakin maju. Sebagian besar bidang industri telah menggunakan teknologi otomasi industri, pengendalian mesin-mesin industri telah dilakukan dengan

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

USULAN PENELITIAN. SEARCH and SHARE RESEARCH GRANT

USULAN PENELITIAN. SEARCH and SHARE RESEARCH GRANT Kode/Nama Rumpun Ilmu* :.../... Bidang Fokus :... USULAN PENELITIAN SEARCH and SHARE RESEARCH GRANT JUDUL PENELITIAN TIM PENGUSUL (Nama ketua dan anggota tim, lengkap dengan gelar, dan NIDN) UNIVERSITAS

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC

PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC PERANCANGAN MULTIPLIER SEKUENSIAL 8-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN PERANGKAT LUNAK ELECTRIC Brama Yoga Satria *), Munawar Agus Riyadi, and Muhammad Arfan Departemen Teknik Elektro, Universitas

Lebih terperinci

Perancangan Alat Peraga Papan Catur pada Layar Monitor. Samuel Setiawan /

Perancangan Alat Peraga Papan Catur pada Layar Monitor. Samuel Setiawan / Perancangan Alat Peraga Papan Catur pada Layar Monitor Samuel Setiawan / 0522083 Email : juve_samz07@yahoo.com Jurusan Teknik Elektro, Fakultas Teknik, Jl. Prof. Drg. Suria Sumantri, MPH. No. 65, Bandung,

Lebih terperinci

Implementasi Sistem SCADA Redundant (Study kasus: Proses Pengendalian Plant Temperatur Air)

Implementasi Sistem SCADA Redundant (Study kasus: Proses Pengendalian Plant Temperatur Air) Implementasi Sistem SCADA Redundant (Study kasus: Proses Pengendalian Plant Temperatur Air) Disusun Oleh : Nama : Stefanie Hermawan Nrp : 0522041 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof. drg.

Lebih terperinci

Perancangan Perangkat Lunak Pengenal Tulisan Tangan Sambung Menggunakan Jaringan Saraf Tiruan Dengan Metode Hopfield

Perancangan Perangkat Lunak Pengenal Tulisan Tangan Sambung Menggunakan Jaringan Saraf Tiruan Dengan Metode Hopfield Perancangan Perangkat Lunak Pengenal Tulisan Tangan Sambung Menggunakan Jaringan Saraf Tiruan Dengan Metode Hopfield William Susanto Tandiari/0322139 Email: Williams_tandiari@yahoo.com Jurusan Teknik Elektro,

Lebih terperinci

DAFTAR ISI. Abstrak... Abstract... Kata Pengantar... Daftar Isi... Daftar Gambar... Daftar Tabel... BAB I Pendahuluan Latar Belakang...

DAFTAR ISI. Abstrak... Abstract... Kata Pengantar... Daftar Isi... Daftar Gambar... Daftar Tabel... BAB I Pendahuluan Latar Belakang... ABSTRAK Kemajuan teknologi sudah berkembang dengan pesat terutama dengan banyak terciptanya berbagai macam peralatan dalam bidang telekomunikasi yang salah satunya yaitu modem sebagai alat modulasi dan

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

Random Number Generation (RNG) Pembangkitan Bilangan

Random Number Generation (RNG) Pembangkitan Bilangan Random Number Generation (RNG) Pembangkitan Bilangan Random Random Number Generation 1 Definition of RNG RNG suatu algoritma yg digunakan utk menghasilkan urutan angka2 sbg hasil perhitungan dgn komp.,

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2228 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA VLSI LAYOUT DESIGN FOR INSTRUCTION SET

Lebih terperinci

Abstrak. Susdarminasari Taini-L2F Halaman 1

Abstrak. Susdarminasari Taini-L2F Halaman 1 Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA TRAFFIC LIGHT DI LABORATORIUM TEKNIK KONTROL OTOMATIK TEKNIK ELEKTRO UNIVERSITAS DIPONEGORO Susdarminasari Taini (L2F009034)

Lebih terperinci

#12 SIMULASI MONTE CARLO

#12 SIMULASI MONTE CARLO #12 SIMULASI MONTE CARLO 12.1. Konsep Simulasi Metode evaluasi secara analitis sangat dimungkinkan untuk sistem dengan konfigurasi yang sederhana. Untuk sistem yang kompleks, Bridges [1974] menyarankan

Lebih terperinci

PERHITUNGAN BIT ERROR RATE PADA SISTEM MC-CDMA MENGGUNAKAN GABUNGAN METODE MONTE CARLO DAN MOMENT GENERATING FUNCTION.

PERHITUNGAN BIT ERROR RATE PADA SISTEM MC-CDMA MENGGUNAKAN GABUNGAN METODE MONTE CARLO DAN MOMENT GENERATING FUNCTION. PERHITUNGAN BIT ERROR RATE PADA SISTEM MC-CDMA MENGGUNAKAN GABUNGAN METODE MONTE CARLO DAN MOMENT GENERATING FUNCTION Disusun Oleh: Nama : Christ F.D. Saragih Nrp : 0422057 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

APLIKASI SENSOR KOMPAS UNTUK PENCATAT RUTE PERJALANAN ABSTRAK

APLIKASI SENSOR KOMPAS UNTUK PENCATAT RUTE PERJALANAN ABSTRAK APLIKASI SENSOR KOMPAS UNTUK PENCATAT RUTE PERJALANAN Frederick Sembiring / 0422168 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha, Jl. Prof.Drg.Suria Sumantri, MPH no.65, Bandung,

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Kinerja Sistem Komunikasi Satelit Non-Linier BPSK Dengan Adanya Interferensi Cochannel.

Kinerja Sistem Komunikasi Satelit Non-Linier BPSK Dengan Adanya Interferensi Cochannel. Kinerja Sistem Komunikasi Satelit Non-Linier BPSK Dengan Adanya Interferensi Cochannel. Agung Rosdian Purnomo (1122078) Email: agung.rosdianpurnomo@gmail.com Program Studi Teknik Elektro, Fakultas Teknik

Lebih terperinci

Rancangan Aplikasi Pemilihan Soal Ujian Acak Menggunakan Algoritma Mersenne Twister Pada Bahasa Pemrograman Java

Rancangan Aplikasi Pemilihan Soal Ujian Acak Menggunakan Algoritma Mersenne Twister Pada Bahasa Pemrograman Java SEMINAR NASIONAL MATEMATIKA DAN PENDIDIKAN MATEMATIKA UNY 16 Rancangan Aplikasi Pemilihan Soal Ujian Acak Menggunakan Algoritma Mersenne Twister Pada Bahasa Pemrograman Java T - 8 Faizal Achmad Lembaga

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

HIBAH PENELITIAN/PENGABDIAN MASYARAKAT DOSEN FAKULTAS PERIKANAN DAN ILMU KELAUTAN UNIVERSITAS BRAWIJAYA TAHUN 2017

HIBAH PENELITIAN/PENGABDIAN MASYARAKAT DOSEN FAKULTAS PERIKANAN DAN ILMU KELAUTAN UNIVERSITAS BRAWIJAYA TAHUN 2017 HIBAH PENELITIAN/PENGABDIAN MASYARAKAT DOSEN FAKULTAS PERIKANAN DAN ILMU KELAUTAN UNIVERSITAS BRAWIJAYA TAHUN 2017 A. SISTEMATIKA USULAN PENELITIAN/PENGABDIAN MASYARAKAT DOSEN FAKULTAS PERIKANAN DAN KELAUTAN

Lebih terperinci

Abstrak. Kata Kunci: USB, RS485, Inverter, ATMega8

Abstrak. Kata Kunci: USB, RS485, Inverter, ATMega8 Perancangan dan Pembuatan Konverter USB ke RS485 Untuk Mengatur Inverter Nama : Arif Dharma NRP : 9622031 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha Jl. Prof. Drg. Suria Sumantri

Lebih terperinci

DAFTAR ISI. ABSTRAK... i ABSTRACT... ii KATA PENGANTAR...iii DAFTAR ISI...v DAFTAR GAMBAR...vii DAFTAR TABEL...ix

DAFTAR ISI. ABSTRAK... i ABSTRACT... ii KATA PENGANTAR...iii DAFTAR ISI...v DAFTAR GAMBAR...vii DAFTAR TABEL...ix Realisasi Optical Orthogonal Codes (OOC) Menggunakan Kode Prima Yang Dikembangkan Franky Setiawan (0522053) Jurusan Teknik Elektro, Fakultas Teknik, Universitas Maranatha Jln. Prof. Drg. Surya Sumantri

Lebih terperinci

PEDOMAN USUL PENELITIAN SISTEMATIKA USULAN PENELITIAN

PEDOMAN USUL PENELITIAN SISTEMATIKA USULAN PENELITIAN SISTEMATIKA USULAN PENELITIAN Usulan Penelitian Internal Univ Mercu Buana maksimum berjumlah 0 halaman (tidak termasuk halaman sampul, halaman pengesahan, dan lampiran), yang ditulis menggunakan font Times

Lebih terperinci