BAB 2. Landasan Teori. 2.1 Langkah-Langkah Dalam Merancang Sistem Control

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB 2. Landasan Teori. 2.1 Langkah-Langkah Dalam Merancang Sistem Control"

Transkripsi

1 BAB 2 Landasan Teori 2.1 Langkah-Langkah Dalam Merancang Sistem Control Dalam merancang suatu sistem, diperlukan suatu langkah sistematik untuk mendapatkan konfigurasi, spesifikasi, dan identifikasi dari sistem tersebut agar memperoleh suatu hasil dan proses yang diinginkan. Langkah-langkah tersebut diantaranya: Langkah pertama, harus mengetahui tujuan dari sistem yang akan dibuat, sebagai contoh sistem kontrol untuk mengendalikan tiga buah motor DC yang bekerja secara simultan. Langkah kedua, menentukan pemodelan-pemodelan apa yang cocok untuk digunakan dalam membangun sistem yang ingin di buat. Langkah ketiga, mensimulasikan pemodelan dari sistem yang di bangun, apakah dapat berjalan dengan baik atau masih ada yang harus diperbaiki. Langkah empat adalah pengimplementasian dari sistem yang sudah di rancang, yaitu dengan membuat bentuk nyata dari sistem control. Langkah lima adalah tahap akhir dari perancangan yaitu dengan mengukur tingkat kestabilan dari sistem control. Dan bila tidak ada yang perlu di perbaiki atau di teliti ulang, maka sistem tersebut sudah baik. 7

2 8 2.2 Sistem Control Definisi sistem adalah susunan, himpunan, komponen-komponen fisik atau kumpulan benda-benda yang dihubungkan atau berhubungan sedemikian rupa sehingga membentuk suatu kesatuan atau keseluruhan. Kata Control itu sendiri adalah mengatur, mengarah atau mengendalikan. Jadi sistem control adalah hubungan timbal balik komponen-komponen fisik yang membentuk suatu konfigurasi sistem sehingga memberikan hasil yang diharapkan. Untuk hubungan antara input dan output pada sistem menunjukan adanya hubungan sebab akibat dari sebuah proses, yang berawal dari sinyal input sampai menghasilkan sinyal output. Maksud dari sistem control adalah menetapkan atau mendefinisikan output dan input. Jika input dan output telah ditentukan, maka memungkin untuk menetapkan atau mendefinisikan sifat dari komponen-komponen sistem tersebut(sk202-teori Sistem, Bina Nusantara,2001 ) Penggolongan Sistem Control Sistem control digolongkan ke dalam dua kategori umum, yaitu sistem untaianterbuka dan sistem untaian-tertutup. (SK202-Teori Sistem, Bina Nusantara,2001 ) Sistem Untaian Terbuka ( Loop Terbuka) Sistem control untaian-terbuka (lup tebuka) adalah sebuah sistem control yang tak memiliki umpan balik, sehingga bila terdapat gangguan dari dalam maupun dari luar maka sistem tak dapat melaksanakan tugas seperti yang diharapkan. Contohnya seperti alat pemanggang roti automatik dimana waktu yang diperlukan untuk membuat hasil panggangan yang bagus harus diperkirakan oleh pemakainya, yang bukan merupakan bagian dari sistem itu. Hasil control atas mutu panggangan (output) adalah dengan

3 penghentian alat pada saat waktu yang telah disetel. (SK202-Teori Sistem, Bina Nusantara,2001 ). 9 Gambar 2.1: Sistem Pengendalian lup terbuka Sistem Untaian Tertutup ( Loop Tertutup) Sistem control untaian-tertutup (lup tertutup) adalah sebuah sistem control yang memiliki umpan balik, dimana antara output yang baru dengan sinyal input yang dimasukan kedalam sistem akan diselisih. Selisih dari sinyal output dengan sinyal input tersebut disebut dengan sinyal umpan balik. Pada sistem ini sinyal error yang merupakan hasil dari selisih antara sinyal output yang baru terjadi dengan dengan sinyal input yang dimasukan ke dalam sistem akan dikembalikan ke pengendali (controller) untuk mengurangi error. Proses tersebut terus dilakukan sampai mendapatkan hasil output yang diinginkan. Contohnya seperti mekanisme autopilot pada pesawat terbang. Sistem control lup tertutup tersebut digunakan untuk mempertahankan arah pesawat yang telah ditetapkan, tanpa terpengaruh oleh perubahan-perubahan cuaca dan atmosfir. Inputnya adalah arah tertentu yang bisa disetel pada suatu alat penunjuk dalam panel pengendalian pesawat, dan outputnya adalah arah yang sesungguhnya. Sebuah piranti pembanding senantiasa mengamati input dan outputnya. Bila input dan outputnya sudah sama maka tak diperlukan tindakan pengendalian. Bila ada perbedaan antara input dan

4 output, piranti pembanding tersebut menyalurkan suatu isyarat tindakan ke pengendalinya. (SK202-Teori Sistem, Bina Nusantara,2001 ). 10 Gambar 2.2: Sistem Pengendalian lup tertutup 2.3 Kestabilan Sistem Kestabilan sistem dibagi menjadi dua yaitu kestabilan absolut dan kestabilan relatif. Pada kestabilan absolut hanya terdapat dua buah keadaan yaitu stabil atau tidak stabil, dan pada kestabilan yang relatif, banyaknya keadaan pun menjadi relatif pula seiring semakin kompleksnya sebuah sistem, jadi pada kestabilan relatif ada yang di sebut kurang stabil, agak stabil, sangat stabil, dan lain lainnya.sebuah sistem yang stabil adalah sistem yang memiliki respon yang terbatas (bounded). Untuk memenuhi kriteria kestabilan, maka sebuah sistem harus mampu untuk mengatasi gangguan dari luar, dalam artian sistem tersebut dapat mengembalikan ke keadaan pada sebelum terjadinya gangguan tersebut. (SK214-Sistem Pengaturan dasar, Bina Nusantara, 2001; Pert13 ). 2.4 Beberapa Model Controller Prinsip dasar dari teknik controller bertujuan untuk membuat sebuah sistem menjadi stabil dan memiliki kehandalan yang tinggi. Beberapa macam teknik yang digunakan diantaranya adalah controller "on dan "off", controller Proporsional,

5 11 controller Integral, controller Proporsional ditambah Integral, controller Proporsional ditambah Derivative, dan controller Proporsional ditambah Integral ditambah Derivative. Dalam memilih jenis controller haruslah dipertimbangkan dengan baik karena berpengaruh pada kestabilan sistem dan tingkat efisiensi dari sistem yang akan dibuat. Dan untuk memilih tentu saja harus mengerti dan memahami dari sistem pengaturan yang ada dan mengetahui kelebihan dan kekurangannya masing-masing " On " " Off " Controller Dalam controller ini hanya terdapat dua buah keadaan yaitu "on" atau "off " dan dapat juga dikatakan "hidup" atau "mati" dan dalam dunia digital biasa di isyaratkan dengan pengertian angka "0" dan "1". Jadi pada jenis controller ini hanya terdapat dua buah kemungkinan yang sifatnya berlawanan. Bila ditinjau dari segi perancangan sistem, controller ini sangat sederhana dibandingkan jenis controller yang lainnya, dan masih banyak diterapkan dalam sistem pengendalian yang terdapat pada alat-alat produksi dalam pabrik Proporsional Controller Proporsional ( P ) Pada Proporsional Controller sebenarnya hanyalah sebuah penguat input sehingga hasil pada output tidak semakin mengecil pada sebuah sistem. Persamaan matematika dari jenis controller ini adalah U(t) = Kp. e(t) Dimana U(t) adalah output Proporsional Controller dan e(t) adalah sinyal error dari sistem. Kp adalah besaran konstanta untuk di kalikan dengan sinyal error, dimana besaran untuk Kp harus dapat di sesuaikan dengan kebutuhannya.

6 12 Gambar 2.3: Blok Diagram Controller Proporsional Sumber : (Katsuhiko Ogata,1996) Integral Controller ( I ) Integral Controller berfungsi menghasilkan respon sistem yang memiliki kesalahan dalam menuju ke keadaan yang di inginkan. Kalau sebuah plant tidak memiliki unsur integrator, Proporsional Controller tidak akan mampu menjamin output dari sistem akan menuju ke keadaan yang di inginkan. Pada Integral Controller, nilai input controller di kalikan dengan nilai error yang di integralkan dengan batasan atas adalah t dan batas bawah adalah 0, sehingga bentuk persamaan matematika-nya menjadi: U(t) = Ki t 0 e(t) dt Dimana Ki adalah nilai konstanta yang dapat di ubah ubah sesuai kebutuhannya. Dan setelah diubah kedalam domain waktu, maka fungsi alih dari Integral Controller menjadi: U(s) / E(s) = Ki / s atau

7 13 Pada controller integral ini menghasilkan output controller yang sebanding dengan jumlah error, dan juga sangat dipengaruhi oleh time sampling, sehingga dari dari rumus Integral Controller dapat dilihat bahwa controller ini dapat membantu respon dari sistem untuk memperbaiki keadaan error karena sifat dari controller ini adalah selalu menjumlahkan nilai error dari saat E(t 0 ) sampai E(t), sehingga bila Proporsional Controller sudah tidak mampu lagi untuk memperbaiki keadaan error, maka seiring berjalannya waktu, Integral Controller membantu menaikan respon untuk menuju ke keadaan yang diinginkan. Gambar 2.4: Blok diagram Integral Controller Sumber : (Katsuhiko Ogata,1996) Ketika digunakan Integral Controller, sistem akan mempunyai beberapa karakteristik berikut ini: 1. controller output membutuhkan selang waktu tertentu, sehingga Integral Controller cenderung terlihat memperlambat respon. 2. Ketika sinyal error berharga nol, controller output akan bertahan pada nilai sebelumnya. 3. Jika sinyal error tidak berharga nol, output akan menunjukkan kenaikan atau penurunan yang dipengaruhi oleh besarnya sinyal error dan nilai K i.

8 14 4. Konstanta integral K i yang berharga besar akan mempercepat hilangnya offset. Tetapi semakin besar nilai konstanta K i akan mengakibatkan peningkatan osilasi dari sinyal controller output (Guterus, 1994, p7-4) Controller Diferensial ( D ) Output dari Diferensial Controller memiliki sifat seperti halnya suatu operasi derivatif yang cenderung meredam respon untuk menuju ke keadaan yang diinginkan. Bentuk persamaan matematika-nya untuk Diferensial Controller adalah: U(t) = Kd. Td. (de(t)/ dt) Dimana Kd adalah nilai konstanta yang dapat di ubah-ubah sesuai kebutuhannya. Dan setelah diubah kedalam domain waktu, maka fungsi alih dari Integral Controller menjadi: U(s) / E(s) = Kd (Td. s) Atau U(t) = Kd.[ E(t) E(t -1)] Gambar 2.5: Blok Diagram Diferensial Controller Sumber : (Katsuhiko Ogata,1996)

9 15 Pada gambar 2.6 menyatakan hubungan antara sinyal input dengan sinyal output dari Diferensial Controller. Ketika input tidak mengalami perubahan, controller output juga tidak mengalami perubahan, sedangkan apabila sinyal input berubah mendadak dan menaik (berbentuk fungsi step), output menghasilkan sinyal berbentuk impuls. Jika sinyal input berubah naik secara perlahan (fungsi ramp), output justru merupakan fungsi step yang besar magnitudnya sangat dipengaruhi oleh kecepatan naik dari fungsi ramp dan faktor konstanta diferensialnya T d (Guterus, 1994, p8-4). Gambar 2.6: Kurva waktu hubungan input-output Diferensial Controller Sumber: Karakteristik Controller diferensial adalah sebagai berikut: 1. Controller ini tidak dapat menghasilkan output bila tidak ada perubahan pada input nya (berupa sinyal error). 2. Jika sinyal error berubah terhadap waktu, maka output yang dihasilkan controller tergantung pada nilai Td dan laju perubahan sinyal error.

10 16 3. Diferensial Controller mempunyai suatu karakter untuk mendahului, sehingga controller ini dapat menghasilkan koreksi yang signifikan sebelum pembangkit kesalahan menjadi sangat besar. Jadi Diferensial Controller dapat mengantisipasi pembangkit error, memberikan aksi yang bersifat korektif, dan cenderung meningkatkan stabilitas sistem (Ogata,, 1997, p240). Kerja Diferensial Controller hanyalah efektif pada lingkup yang sempit, yaitu pada periode peralihan. Oleh sebab itu Diferensial Controller tidak pernah digunakan tanpa ada controller lain dalam sebuah sistem Pengontrolan Controller Dengan Integral Dengan Derivative ( PID ) Bila Proporsional Controller digabungkan dengan Diferensial Controller (Derivative) dan digabungkan lagi dengan Integral Controller maka persamaan matematika-nya menjadi: U(t)= [ Kp. e(t)] + [ ( Kp / Ti ).( t 0 e(t) dt) ] + [Kp. Td. (de(t)/ dt)] Maka fungsi alih dari pengendalian ini adalah U(s) / E(s) = Kp.[ 1+ ( 1 / Ti. s) + (Td. s) ] Dimana Kp adalah penguatan Proporsional, Ti adalah Integral dari waktu dan Td adalah Derivative dari waktu(ogata,1996,p203). Setiap kekurangan dan kelebihan dari masing-masing controller P, I dan D dapat saling menutupi dengan menggabungkan ketiganya secara paralel menjadi Proporsional plus integral plus diferensial Controller (Controller PID). Elemen-elemen Controller P, I dan D masing-masing secara keseluruhan bertujuan untuk mempercepat reaksi sebuah sistem, menghilangkan offset dan menghasilkan perubahan awal yang besar(guterus, 1994, p8-10).

11 17 Gambar 2.7: blok diagram Controller Proporsional dengan Integral dan dengan Derivative ( PID ). Sumber: Karakteristik Controller PID sangat dipengaruhi oleh kontribusi besar dari ketiga parameter P, I dan D. Penyetelan konstanta Kp, Ti, dan Td akan mengakibatkan penonjolan sifat dari masing-masing elemen. Satu atau dua dari ketiga konstanta tersebut dapat disetel lebih menonjol dibanding yang lain. Konstanta yang menonjol itulah akan memberikan kontribusi pengaruh pada respon sistem secara keseluruhan (Gunterus, 1994, p8-10). Penalaan parameter Controller PID selalu didasari atas tinjauan terhadap karakteristik yang diatur (Plant). Dengan demikian betapapun rumitnya suatu plant, perilaku plant tersebut harus diketahui terlebih dahulu sebelum penalaan parameter PID itu dilakukan. Karena penyusunan model matematik plant tidak mudah, maka dikembangkan suatu metode eksperimental. Metode ini didasarkan pada reaksi plant yang dikenai suatu perubahan. Dengan menggunakan metode itu model matematik perilaku plant tidak diperlukan lagi, karena dengan menggunakan data yang berupa

12 18 kurva output, penalaan Controller PID telah dapat dilakukan. Penalaan bertujuan untuk mendapatkan kinerja sistem sesuai spesifikasi perancangan. Ogata menyatakan hal itu sebagai alat control (tuning controller) (Ogata, 1997, p168, Jilid 2). Agar persamaan PID yang ingin digunakan dapat di implementasikan ke dalam sistem diskrit maka persamaan PID tersebut harus di ubah kedalam bentuk diskrit terlebih dahulu. Untuk dapat dijadikan persamaan PID yang diskrit sebelumnya harus di ubah terlebih dahulu kedalam domain Z. Kemudian dari persamaan PID dalam Domain Z di ubah kedalam persamaan PID diskrit dengan cara di laplace-kan. U(t) = [U (t-1)]+[(kp + Ki + Kd). E(t)] [(Kp + 2Kd). E(t-1)]+[(Kd). E(t-2)] Gambar 2.8: Perbandingan hasil Proporsional Controller ( P ) dengan Proporsional Controller ditambah Derivative ( PD ) dengan Proporsional ditambah Integral ditambah Derivative ( PID ). Sumber : (Katsuhiko Ogata,1996)

13 Motor DC Seiring dengan berkembangnya teknologi motor DC, saat ini sangat banyak sekali macam-macam bentuk motor DC. Sehingga untuk dapat mengendalikan motor DC dengan baik, perlu diketahui pemodelan matematik dan cara kerjanya dari motor DC yang akan digunakan. Pada sub bab ini akan di uraikan tentang perkembangan teknologi motor DC, lalu cara kerja dan persamaan matematik dari motor DC Perkembangan Motor DC Pada perkembangan teknologi motor DC memang cukup membantu untuk terciptanya perangkat elektronik yang membutuhkan penggerak, misalnya untuk memutar pita kaset, untuk memutar kepingan CD, dan lain lainnya. Motor DC dengan model model lama tidaklah memungkinkan untuk digunakan dalam perangkat elektronik, karena model-model pada jaman dahulu masih menggunakan sikat dan komutator, karena pada saat tersebut belum ada motor DC yang menggunakan teknologi magnet permanen, sehingga bentuk fisik dari motor DC itu sendiri menjadi lebih besar dan membutuhkan ruang yang sangat besar, tetapi dengan menggunakan teknologi magnet permanen permasalahan tersebut dapat teratasi dengan baik. Dan seiring berjalannya waktu, teknologi motor DC pun menjadi semakin baik dengan tidak menggunakan sikat dalam motor DC sehingga tidak perlu perawatan khusus terhadap motor DC, dan juga teknik manufaktur yang baik telah menghasilkan sebuah motor DC yang memiliki rotor yang tidak lagi terbuat dari besi, sehingga akselerasi yang didapatkan menjadi semakin baik beserta momen inersianya yang menjadi lebih kecil sehingga dapat membuat rasio torsi inersia yang tinggi dengan konstanta waktu yang kecil. Dari seluruh perkembangan yang ada, pada saat ini sangatlah memungkinkan

14 untuk menggunakan motor DC kedalam perangkat elektronik yang kecil dan perangkat digital lainnya, bahkan saat ini mampu digunakan dalam membuat robot-robot industri Cara Kerja dan Persamaan Matematik dari Motor DC Cara kerja motor DC yang secara umum adalah mengubah energi listrik menjadi energi mekanik, dan kekuatan dari gaya memutarnya biasa di sebut torsi. Torsi yang dihasilkan berbanding lurus dengan besarnya arus pada kumparan dan juga berbanding lurus dengan besarnya fluks pada medan magnetik. Hubungan antara torsi, fluks dan arus dapat dituliskan dalam persamaan sebagai berikut : Keterangan : T m = K m. Ф. i a T m K m i a Ф = Torsi motor (N-m, lb-ft atau oz-in) = Konstanta motor = Arus jangkar (Ampere) = Fluks (Weber) Pergerakan dari motor DC terjadi karena adanya kumparan yang akan bersifat magnetik ketika diberikan tegangan, dan karena dipengaruhi oleh medan magnetik aktif yang mengelilingi kumparan tersebut maka kumparan yang tadinya bersifat magnetik akan melakukan gerakan memutar. Gerakan inilah yang membuat motor tersebut berputar. Pada saat konduktor bergerak pada medan magnetik maka suatu tegangan dibangkitkan melintasi ujung-ujungnya. Tegangan ini disebut dengan tegangan emf balik. Tegangan tersebut berbanding lurus dengan kecepatan batang dan berlawanan dengan aliran arus. Hubungan antara emf balik dengan kecepatan sudut sebagai berikut: e b = K m. Ф. ω m

15 21 keterangan: e b K m Ф ω m = emf balik (Volt) = Konstanta motor = Fluks (Weber) = Kecepatan sudut dari motor (Radian / detik) 2.6 Analog to Digital Converter ADC ADC adalah komponen yang digunakan untuk merubah besaran tegangan analog kedalam bentuk digital. Ada banyak konsep yang digunakan dalam membuat ADC, salah satu diantaranya adalah pendekatan berangsur-angsur (Successive Approximation). Langkah langkah yang terdapat dalam ADC adalah sinyal analog disampling sehingga sinyal analog yang waktunya continue menjadi waktu diskrit, kemudian sinyal diskrit tersebut di kuantisasi yang bermaksud untuk membuat nilai dari sinyal diskrit menjadi sesuai dengan yang ditentukan, setelah nilai pada sinyal diskrit sudah dikuantisasi, maka langkah terakhirnya adalah melakukan coding, dimana nilai dari hasil kuantisasi di ubah kedalam bentuk bilangan binary, sehingga nilai binary tersebut dapat digunakan kedalam perangkat diskrit lainnya. Contohnya Modem (Modulator dan Demulator) adalah salah satu contoh dari suatu alat yang menggunakan ADC dimana cara kerjanya modulator (DAC) mengubah sinyal diskrit ke sinyal analog lalu sinyal analog dikirim melalui saluran komunikasi ke ujung lain suatu jaringan sinyal. Di ujung lain sinyal tersebut dikembalikan ke bentuk asalnya yaitu bentuk diskrit yang bisa diinterpretasikan oleh komputer. Proses pengubahan ini dinamakan demulasi (ADC).

16 22 Gambar 2.9 : ADC dengan output n-bit Sampling Sampling adalah mengubah sinyal analog yang memiliki waktu yang continue menjadi sinyal yang waktunya diskrit. Dan kecepatan waktu sampling harus dua kali lebih cepat dari frekuensi tertinggi pada sinyal analog tersebut, untuk menghindari terjadinya efek aliasing. Gambar 2.10: Sinyal Analog sebelum dan sesudah disampling

17 23 Pada syarat Nyquist dalam pengambilan contoh frekuensi, minimal harus paling sedikit dua kali dalam satu frekuensi, hal tersebut di haruskan agar output pada frekuensi diskrit digital masih mampu untuk mendekati nilai frekuensi pada input. Gambar 2.11: Sinyal Analog yang memiliki waktu sampling yang minimum Quantisasi Quantisasi adalah proses dimana nilai diskrit yang memiliki nilai yang tidak bulat atau memiliki nilai yang berkoma, dilakukan pembulatan dengan 2 cara, yaitu pemotongan atau pembuangan. (Rounding dan Dissection) Bila menggunakan cara Rounding maka nilai di atas 0,5 akan dibulatkan keatas. (cth: nilai 7,51 dibulatkan menjadi 8.) dan nilai dibawah 0.5 sampai 0.5 akan dibulatkan kebawah. (cth: nilai 7,5 dibulatkan menjadi 7). Hal ini berbeda degan cara Dissection (pemotongan atau pembuangan) yaitu berapapun nilai di belakang koma, maka nilai dibulatkan kebawah (cth: nilai 7,4 menjadi 7, dan nilai 7,8 tetap dibulatkan kebawah menjadi nilai 7).

18 Coding Coding adalah proses pengubahan dari nilai desimal pada sinyal diskrit yaitu menjadikan nilai kedalam bentuk binary, hal ini di lakukan agar nilai tersebut dapat di gunakan sebagai data digital pada perangkat digital lainnya, karena pada dasarnya perangkat digital hanya beroperasi dalam bilangan binary Tahapan dari ADC Gambar 2.12 : Tahapan konversi dari analog ke sinyal digital Error dalam ADC Karena pada ADC juga menggunakan DAC sebagai komponen konversi, maka error-error yang dapat terjadi pada DAC juga akan terjadi pada ADC. Beberapa jenis kesalahan yang sering terjadi pada ADC adalah : Quantization Error Quantization Error atau ralat quantisasi pada umumnya sebesar ± ½ LSB. Quantization Error ini dapat juga dinyatakan dalam bentuk SNQR (Signal to Noise Quantization Error), dimana: SNQR = 20 log (FSR/ I LSB )db Offset Error Merupakan error yang terjadi pada saat input diberikan 0 volt, namun output diskrit tidak menunjukkan 0.

19 25 Gain Error Error ini memberikan output data diskrit (binary) tidak sesuai dengan input analog. Akibatnya FSR (Full Scale Range) akan ikut terpengaruh. Bila Gain Error besar maka output FSR akan turun karena besarnya error yang terjadi, begitu juga sebaliknya. Non-Linearity Error Error ini terjadi akibat dari adanya variasi Offset Error dan Gain Error sehingga perbandingan antara tegangan input dengan data output diskrit menjadi tidak linear. Differential Non-Linearity Error Nilai error ini selalu lebih besar dari Quantization Error (maksimum 2 kali yaitu sebesar I LSB ). Error ini adalah selisih antara harga teoritis dengan harga sesungguhnya untuk input range tertentu.bila terjadi Overlap maka akan ada output binary yang hilang. 2.7 Digital to Analog Converter DAC DAC merupakan komponen untuk merubah besaran data diskrit kedalam bentuk analog. DAC terbagi dalam beberapa jenis sesuai dengan cara kerjanya, beberapa diantaranya adalah : Weighted Resistor dan R-2R Weighted Resistor Resistor yang mempunyai nilai dengan perbandingan tertentu dipararelkan secara bersama-sama dan dipasang pada input inverting sebuah Op-Amp sedangkan

20 26 input Non-Inverting dihubungkan ke ground. Perbandingan antara masing-masing resistor adalah R, 2R, 4R, 6R, dst. Dengan adanya perbandingan tersebut maka nilai resistor yang dibutuhkan menjadi sangat bervariasi dan menimbulkan masalah dalam mendapatkannya. Oleh karena itu konsep R-2R menjadi pilihan yang lebih baik. Weighted Resistor memiliki gambaran seperti berikut. Gambar 2.13: Rangkaian Weighted Resistor R-2R Ladder DAC dengan konsep R-2R pada dasarnya merupakan pengembangan dari konsep Weighted Resistor namun dengan cara penempatan resistor yang lebih baik sehingga nilai resistor akan memenuhi syarat perbandingan.r-2r Ladder memiliki gambaran seperti berikut :

21 27 Gambar 2.14: Rangkaian R-2R Ladder. Pada DAC, jumlah bit masukan akan mempengaruhi jumlah step (resolusi) yang dapat dihasilkan, yaitu mengikuti rumus 2 N dimana N = jumlah bit input. Sedangkan V FS merupakan nilai tegangan maksimum yang dapat dihasilkan DAC pada saat semua data input bernilai 1, namun karena adanya keterbatasan pada sistem diskrit, maka nilai tegangan maksimum yang dapat dihasilkan akan kurang dari V FS, yaitu sebesar V FS - I LSB. Dimana I LSH adalah nilai tegangan yang dapat dihasilkan bila terjadi perubahan satu step. Nilai I LSB didapatkan dari V FS /2 N Error Beberapa kesalahan yang sering terjadi pada DAC adalah : Accuracy Error Merupakan error pada ketepatan perubahan nilai tegangan sebesar I LSB pada saat terjadi perubahan satu step. Namun pada umumnya, error sebesar ± ½ LSB adalah dianggap normal. Pada DAC yang lebih baik, tingkat error akan dapat ditekan menjadi ±¼ LSB karena menggunakan

22 28 metode A-Law dan μ-law. Toleransi pada DAC ini adalah seperti halnya toleransi pada resistor. Absolute Error Merupakan error absolute yang terjadi. Besarnya adalah sebesar Y-X, dimana Y = output seharusnya, sedangkan X = output yang diperoleh. Absolute Error ini juga berhubungan sebab akibat pada Accuracy Error Offset Error Offset Error ini adalah output DAC yang tidak tepat 0 Volt pada saat semua input diskrit DAC bernilai 0. Offset Error ini dapat diatasi dengan penyetelan V OS pada Op-Amp yang digunakan sebagai penguat pada output DAC. Gain Error Dinamakan juga Scaling Error. Error ini adalah step berubah tidak sesuai dengan nilai I LSB (lebih besar atau lebih kecil dari I LSB ). Bila input binary makin besar akan menyebabkan penyimpangan tegangan makin besar sehingga pada akhirnya akan mengakibatkan Absolute Error yang juga semakin besar. Linearity Error Linearity Error merupakan error pada DAC yang berupa ukuran step (nilai I LSB ) yang berubah-ubah. Dengan adanya error ini maka nilai tegangan output dari DAC akan menjadi tidak sesuai (tidak linear) dengan perbandingan data diskrit yang diberikan kedalam DAC.

23 FPGA FPGA merupakan komponen yang berfungsi sebagai media untuk mengimplementasikan rangkaian diskrit, komponen ini memiliki sifat yang sangat fleksibel atau dengan kata lain, arsitektur diskrit yang ada dalam IC ini dapat di bentuk menjadi rangkaian diskrit seperti apapun dan kemampuannya dibatasi oleh banyaknya gerbang logika dalam IC tersebut. Dalam perancangan ini FPGA yang tersedia memiliki gerbang logika sebanyak (Empat Ratus Ribu) gerbang Sejarah Perkembangan FPGA FPGA adalah pengembangan sebuah PLD yang mana sejarah perkembangannya dikelompokkan menjadi 3 kelas yaitu: SPLD (Simple Programmable Logic Device) SPLD adalah merupakan PLD dengan teknologi yang sederhana, seperti Read Only Memory (ROM), Programmable Logic Array (PLA) dan Programmable Array Logic (PAL). CPLD (Complex Programmable Logic Device) CPLD adalah merupakan suatu komponen logic yang terdiri atas beberapa PLD dengan sebuah struktur interkoneksi dalam sebuah chip. FPGA dibandingkan dengan CPLD, sebuah chip FPGA mengandung lebih banyak logic block daripada sebuah chip CPLD. FPGA menyediakan struktur interkoneksi yang besar, yang mendominasi keseluruhan chip.

24 Keuntungan Menggunakan FPGA Keuntungan yang dimiliki FPGA sebagai alat perancangan diskrit : - Untuk proses perkembangan, rekonfigurasi sirkuit dapat dilakukan dengan kecepatan yang tinggi dan dapat dilakukan oleh user sendiri. - Bisa melakukan Parallel processing yang mana dapat dilakukan dengan kecepatan tinggi. - Menyediakan solusi software terintegrasi untuk merancang, mensimulasi, implementasi dan download ke alatnya. - Hasil sintesis bisa disimulasikan, dimana hal tersebut dapat pengurangi resiko kegagalan dalam seluruh rancangan - Tersedianya beberapa entry design sesuai kebutuhan, seperti schematic, HDL, dan state machine. - Hemat biaya dalam aplikasi Aplikasi Dari FPGA Sekarang ini sudah terdapat berbagai macam aplikasi dari FPGA diantara-nya adalah sebagai berikut : - Controller protocol komunikasi. - Pembuatan satellite. - Sistem GPS. - Controller PLC. - Alat-alat medis.

25 31 FPGA yang akan digunakan adalah IC FPGA tipe SPARTAN 3 yang memiliki 400 ribu gerbang dan di produksi oleh XILINX. FPGA adalah sebuah Field Programming Device (FPD) dimana mengacu pada tipe IC yang memiliki fungsi yang dapat menyimpan sebuah sistem elektronik tertentu, dengan batasan banyaknya gerbang gerbang logic yang terdapat didalamnya. Dapat dikatakan FPGA ialah FPD yang mempunyai struktur yang umum, yang memperbolehkan kapasitas very high logic gate. FPGA dapat diprogram, hampir sama dengan PLD, yaitu istilah umum untuk IC yang dapat diprogram dalam lab untuk melakukan fungsi yang kompleks. Perbedaan PLD dengan FPGA biasanya dibatasi perbedaan jumlah gerbang dan cara menggunakannya misalnya PLD memiliki gerbang yang sangat sedikit jumlahnya bila dibandingkan FPGA yang bisa sampai ribuan sampai ratusan ribu gerbang, juga FPGA biasanya terkenal untuk membuat rancangan IC. Untuk memasukan sesuatu atau memprogram suatu sistem kedalam IC FPGA salah satunya dapat di gunakan software dari XILINX yang bernama "XILINX ISE WEBPACK 6.1" dan untuk mensimulasikan sistem atau model rangkaian diskrit yang hendak dibuat dapat menggunakan software pendukung, seperti "ModelSim XE II 5.7c" atau program simulator lainnya. Bahasa pemrograman yang digunakan dalam merancang arsitektur diskrit pada FPGA adalah VHDL (VHSIC Hardware Description Language) atau dapat juga dengan menggunakan bahasa pemrograman lainnya seperti "VERILOG". Pada board FPGA tipe Spartan 3 terdapat tiga buah expansion connector yang berfungsi sebagai power output dan I/O yang dapat pakai sebagai input atau output data diskrit. Pada IC FPGA seri XC3S-400-ft256 ini, memiliki total I/O sebanyak 100 pin. Interconnection untuk Xilinx FPGAs terdiri dari jalur-jalur konduktor (single leght dan long line) yang mana terdapat switch matrix yang

26 sebagai penghubung jalur-jalur konduktor tersebut secara horizontal dan vertical yang terletak di antara CLB (Configurtable Logic Block) dan IOB (Input Output Block) Pengenalan VHDL HDL (Hardware Description Language) adalah bahasa pemrograman untuk memodelkan hardware diskrit. VHSIC adalah singkatan dari Very High Speed Integrated Circuit. Jadi VHDL adalah VHSIC Hardware Description Language dimana bahasa pemrograman ini sangat populer digunakan untuk memodelkan arsitektur diskrit yang memiliki kecepatan proses data yang tinggi. Sehingga dengan terbitnya bahasa pemrograman ini, seorang designer perangkat diskrit akan lebih leluasa dalam menciptakan arsitektur diskritnya, karena dengan menggunakan bahasa VHDL, seorang designer dapat langsung mensimulasikan rancangannya dan langsung dapat di implementasi kedalam FPGA dalam waktu yang sangat singkat. Menurut Perry, Douglas, berikut ini adalah komponen-komponen dasar VHDL yang digunakan pada hampir semua deskripsi : - Entity Sebuah entity adalah komponen penyusun yang paling dasar dimana tingkatan yang paling tinggi dari sebuah rancangan adalah entity top level. Bila rancangan berbentuk tingkatan atau hierarki maka deskripsi top level akan memiliki deskripsi lower level yang terkandung di dalamnya. - Arsitektur Semua entity yang dapat disimulasi mempunyai deskripsi arsitektur. Arsitektur tersebut menjelaskan perilaku dari entity tersebut. Sebuah entity tunggal dapat memiliki

27 33 beberapa arsitektur. Sebuah arsitektur mungkin berupa behavioral, sementara yang lainnya berupa deskripsi structural dari desain tersebut. - Proses Proses adalah bagian paling dasar dalam VHDL untuk melakukan pengeksekusian. Semua deskripsi VHDL pengoperasiannya ditampilkan dalam simulasi, prosesnya dapat dipisahkan ke dalam satu proses atau banyak proses Beberapa Syntax yang terdapat dalam VHDL 1) Case Insensitive 2) Komentar diawali dengan -- 3) Statement diakhiri dengan ; 4) List dipisahkan dengan, 5) Signal assignment menggunakan <= 6) Variable assignment menggunakan := 7) Penamaan identifier: a) Dapat menggunakan huruf, angka, dan _ b) Diawali dengan huruf Data Object 1) Signal, menggambarkan kabel yang dapat memiliki nilai yang dapat berubah-ubah sejalan dengan waktu. 2) Variable, berfungsi sama seperti variabel pada bahasa pemrograman konvensional, dengan nilai yang berubah sejalan dengan urutan statement.

28 3) Constant, sebagai konstanta yang harus diinisialisasi dengan suatu nilai dan tidak dapat berubah nilainya Standard Data Types 1) Integer 2) Real 3) Boolean 4) Character 5) Bit 6) Bit_Vector 7) Time 8) String 9) Natural 10) Positive IEEE Standard Logic Type std_logic 1) U -> Uninitialized 2) X -> Forcing unknown 3) 0 -> Forcing 0 4) 1 -> Forcing 1 5) Z -> High Impedance 6) W -> Weak unknown 7) L -> Weak 0 8) H -> Weak 1

29 35 9) - -> Don t care 2.9 Op-Amp Op-Amp (Operational Amplifier) adalah suatu rangkaian terpadu yang tersusun dari berbagai komponen semikonduktor lainnya, dimana fungsi utama dari op-amp adalah untuk melakukan operasi-operasi aritmatik, integrasi dan penguatan. Op-amp sudah dikemas dalam bentuk IC (teknologi rangkaian terpadu) dan mengambil sinyal dalam bentuk (tegangan listrik) yang di input melalui jalur V in yang disediakan di dalam komponen tersebut untuk dikuatkan dengan dengan sumber daya yang tersedia, dan kemudian di output hasil penguatannya melalui jalur outputnya. Keuntungan dari penggunaan OP-AMP ini adalah ukuran kecil, kehandalan tinggi, harga lebih murah, lebih kebal terhadap temperatur yang berlebihan, dan tegangan serta arus offset lebih rendah. Oleh karena itu, Op-amp lebih sering digunakan dibandingkan dengan Transistor. Karena transistor mempunyai kelemahan, yaitu nilai penguatan rendah dan mudah dipengaruhi oleh temperatur yamg berlebihan Karateristik Op-Amp yang ideal Bati tegangan : Besarnya bati Av = Vo/Vin atau Av(dB) = 20 Log Av. Bila nilai bati tegangan adalah tak berhingga maka nilai Vo akan besar sekali dibandingkan dengan Vin.

30 36 Lebar pita : Bila lebar pita adalah tidak berhingga maka op-amp dapat bekerja pada frekuensi yang besar, bila semakin besar lebar pita maka op-amp tersebut semakin bagus. Hambatan input : Semakin besar hambatan input maka Vin = Vsg,karena hambatan output sangat kecil maka hambatan output dapat diabaikan dan menyebabkan tidak ada tegangan yang terbuang. Hambatan output : 0 Semakin kecil nilai hambatan output maka penguatan yang dihasilkan akan semakin besar. Offset dan drift : 0 Tegangan offset terjadi karena input sebuah op-amp dihubungkan dengan bumi, dan oleh karena transistor masukkan mempunyai harga Vbe yang berbeda. Drift merupakan offset yang dipengaruhi suhu. CMRR : CMRR (Common Mode Rejection Ratio) merupakan perbandingan dari bati tegangan diferensial dengan bati tegangan ragam sekutu.semakin tinggi CMRR maka makin baik penguat diferensial tersebut. Slew Rate : 0 Slew rate merupakan nilai tercepat dimana output dapat berubah. Perubahan maksimum dari tegangan output Salah satunya yang terpenting dari semua spesifikasi

31 yang mempengaruhi operasi AC, dari sebuah op-amp karena besaran tersebut membatasi kepatuhan AC pada frekuensi tinggi Macam-Macam Penguatan Pada sebuah Op-Amp yang berfungsi sebagai penguat, terbagi menjadi dua macam penguatan, yaitu Penguat pembalik dan penguat tak membalik. Penguat membalik Penguat membalik adalah suatu rangkaian op-amp dimana sumber tegangannya diambil dari kaki inverting op-amp. Penguat tak membalik Penguat tak membalik adalah suatu rangkaian op-amp dimana sumber tegangannya diambil dari kaki non inverting op-amp.

32 Cara kerjanya dari Penguatan Operasional Kerja dari Op-Amp adalah Penguat operasional mempunyai 2 terminal input yaitu tegangan V1 dan V2, dimana V1 disebut terminal masukan tanpa pembalik (non inverting) sedangkan V2 disebut terminal masukan pembalik (inverting). Penguatan antara V0 dan V1 positive (+) dihasilkan oleh terminal non pembalik (non inverting) sedangkan penguatan antara Vo dan V2 negative (-) dihasilkan oleh terminal pembalik (inverting). Sebuah penguat dengan satu ujung dianggap sebagai peristiwa khusus dimana, salah satu terminal masuk digroundkan. Hampir semua OP-AMP hanya mempunyai satu terminal output. Bila penguatan dengan hambatan sama besar, hubungan langsung dari output menuju input, menghasilkan penguatan satu. Dalam konfigurasi tak membalik ini tegangan output sama dengan tegangan input dan penguatan sama dengan 1. Berbagai tipe penguatan digunakan dalam rangkaian dasar. Salah satu fungsi yang penting untuk diingat adalah hubungan polaritas masukan terhadap output. Bila input membalik lebih negative dibandingkan dengan masukan tak membalik, maka outputnya-pun negative. Demikian pula jika masukan membalik lebih negative dibadingkan dengan masukan tidak membalik, maka output akan bernilai positive.

33 Sensor Posisi Pada sebuah sistem loop tertutup, kehadiran sensor sangatlah di butuhkan, karena sensor bekerja sebagai pembaca fungsi output untuk dimasukan kembali kedalam sistem. Pada perancangan sistem ini output dari dari sistem berupa posisi putaran motor sehingga untuk membaca posisi pada poros putaran dapat digunakan potensiometer dengan cara menghubungkan tuas potensiometer langsung dengan poros putaran lengan yang ingin dilihat posisinya. Karena pada output sistem adalah posisi lengan dalam satuan derajat kemiringan, maka untuk membaca posisi tersebut dapat digunakan potensiometer linear, yang besaran hambatannya dapat diubah dengan memutar tuas pada potensiometer. Potensiometer ini dapat bekerja sebagai sensor, karena perubahan hambatan dapat mengubah tegangan dan arus yang melewatinya, sehingga sistem dapat membaca posisi lengan dengan melihat perubahan arus dan tegangan yang disebabkan oleh poros putaran lengan yang menggerakan tuas potensiometer. Sehingga dengan rumus pembagi tegangan dan hamabatan, maka output tegangan yang dihasilkan dalam bentuk sinyal analog, dapat di konversikan kedalam diskrit dengan bantuan IC ADC (Analog To Digital Converter).

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana computer Semester Genap tahun 2005/2006 KONTROL POSISI PADA MOTOR DC DENGAN FPGA Harry 0500589552 Bunny Diredja 0500593392 Wadi 0500582294

Lebih terperinci

TUGAS AKHIR RESUME PID. Oleh: Nanda Perdana Putra MN / 2010 Teknik Elektro Industri Teknik Elektro. Fakultas Teknik. Universitas Negeri Padang

TUGAS AKHIR RESUME PID. Oleh: Nanda Perdana Putra MN / 2010 Teknik Elektro Industri Teknik Elektro. Fakultas Teknik. Universitas Negeri Padang TUGAS AKHIR RESUME PID Oleh: Nanda Perdana Putra MN 55538 / 2010 Teknik Elektro Industri Teknik Elektro Fakultas Teknik Universitas Negeri Padang PROPORSIONAL INTEGRAL DIFERENSIAL (PID) Pendahuluan Sistem

Lebih terperinci

BAB II DASAR TEORI. kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral dan aksi

BAB II DASAR TEORI. kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral dan aksi BAB II DASAR TEORI 2.1 Proporsional Integral Derivative (PID) Didalam suatu sistem kontrol kita mengenal adanya beberapa macam aksi kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT Flow Chart Perancangan dan Pembuatan Alat. Mulai. Tinjauan pustaka

BAB III PERANCANGAN DAN PEMBUATAN ALAT Flow Chart Perancangan dan Pembuatan Alat. Mulai. Tinjauan pustaka 59 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1. Flow Chart Perancangan dan Pembuatan Alat Mulai Tinjauan pustaka Simulasi dan perancangan alat untuk pengendali kecepatan motor DC dengan kontroler PID analog

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1. Mikrokontroller AVR Mikrokontroller adalah suatu alat elektronika digital yang mempunyai masukan serta keluaran serta dapat di read dan write dengan cara khusus. Mikrokontroller

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Seperti yang telah dijelaskan sebelumnya, pengukuran resistivitas dikhususkan pada bahan yang bebentuk silinder. Rancangan alat ukur ini dibuat untuk mengukur tegangan dan arus

Lebih terperinci

BAB II DASAR TEORI. Gambar 2.1 Sensor Ultrasonik HCSR04. Gambar 2.2 Cara Kerja Sensor Ultrasonik.

BAB II DASAR TEORI. Gambar 2.1 Sensor Ultrasonik HCSR04. Gambar 2.2 Cara Kerja Sensor Ultrasonik. BAB II DASAR TEORI Pada bab ini akan dibahas beberapa teori pendukung yang digunakan sebagai acuan dalam merealisasikan sistem. Teori-teori yang digunakan dalam pembuatan skripsi ini terdiri dari sensor

Lebih terperinci

Simulasi Control System Design dengan Scilab dan Scicos

Simulasi Control System Design dengan Scilab dan Scicos Simulasi Control System Design dengan Scilab dan Scicos 1. TUJUAN PERCOBAAN Praktikan dapat menguasai pemodelan sistem, analisa sistem dan desain kontrol sistem dengan software simulasi Scilab dan Scicos.

Lebih terperinci

SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam

SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam I. Tujuan 1. Mampu melakukan analisis kinerja sistem pengaturan posisi motor arus searah.. Mampu menerangkan pengaruh kecepatan

Lebih terperinci

OPERATIONAL AMPLIFIERS

OPERATIONAL AMPLIFIERS OPERATIONAL AMPLIFIERS DASAR OP-AMP Simbol dan Terminal Gambar 1a: Simbol Gambar 1b: Simbol dengan dc supply Standar operasi amplifier (op-amp) memiliki; a) V out adalah tegangan output, b) V adalah tegangan

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 21 BAB III PERANCANGAN SISTEM 3.1 Rangkaian Keseluruhan Sistem kendali yang dibuat ini terdiri dari beberapa blok bagian yaitu blok bagian plant (objek yang dikendalikan), blok bagian sensor, blok interface

Lebih terperinci

Beberapa istilah dalam ADC

Beberapa istilah dalam ADC Analog to Digital Converter (ADC) ADC adalah interface yang digunakan untuk mengambil data dari sensor dan memasukkannya ke dalam komputer atau mikrokontroler. Karena besaran keluaran dari sensor adalah

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

ANALISIS PENERAPAN PID CONTROLLER PADA AVR (AUTOMATIC VOLTAGE REGULATOR)

ANALISIS PENERAPAN PID CONTROLLER PADA AVR (AUTOMATIC VOLTAGE REGULATOR) ANALISIS PENERAPAN PID CONTROLLER PADA AVR (AUTOMATIC VOLTAGE REGULATOR) Indar Chaerah Gunadin Dosen Jurusan Teknik Elektro Universitas Hasanuddin Abstrak Perubahan daya reaktif yang disuplai ke beban

Lebih terperinci

Bab III. Operational Amplifier

Bab III. Operational Amplifier Bab III Operational Amplifier 30 3.1. Masalah Interfacing Interfacing sebagai cara untuk menggabungkan antara setiap komponen sensor dengan pengontrol. Dalam diagram blok terlihat hanya berupa garis saja

Lebih terperinci

Implementasi Modul Kontrol Temperatur Nano-Material ThSrO Menggunakan Mikrokontroler Digital PIC18F452

Implementasi Modul Kontrol Temperatur Nano-Material ThSrO Menggunakan Mikrokontroler Digital PIC18F452 Implementasi Modul Kontrol Temperatur Nano-Material ThSrO Menggunakan Mikrokontroler Digital PIC18F452 Moh. Hardiyanto 1,2 1 Program Studi Teknik Industri, Institut Teknologi Indonesia 2 Laboratory of

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Dalam merealisasikan suatu alat diperlukan dasar teori untuk menunjang hasil yang optimal. Pada bab ini akan dibahas secara singkat mengenai teori dasar yang digunakan untuk merealisasikan

Lebih terperinci

PERANCANGAN PENGENDALI POSISI LINIER UNTUK MOTOR DC DENGAN MENGGUNAKAN PID

PERANCANGAN PENGENDALI POSISI LINIER UNTUK MOTOR DC DENGAN MENGGUNAKAN PID PERANCANGAN PENGENDALI POSISI LINIER UNTUK MOTOR DC DENGAN MENGGUNAKAN PID Endra 1 ; Nazar Nazwan 2 ; Dwi Baskoro 3 ; Filian Demi Kusumah 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas

Lebih terperinci

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Brilliant Adhi Prabowo Pusat Penelitian Informatika, LIPI brilliant@informatika.lipi.go.id Abstrak Motor dc lebih sering digunakan

Lebih terperinci

BAB II TINJAUAN PUSTAKA. Gambar 2.1 Penampang kumparan rotor dari atas.[4] permukaan rotor, seperti pada gambar 2.2, saat berada di daerah kutub dan

BAB II TINJAUAN PUSTAKA. Gambar 2.1 Penampang kumparan rotor dari atas.[4] permukaan rotor, seperti pada gambar 2.2, saat berada di daerah kutub dan BAB II TINJAUAN PUSTAKA 2.1 Motor DC 2.1.1. Prinsip Kerja Motor DC Motor listrik adalah mesin dimana mengkonversi energi listrik ke energi mekanik. Jika rotor pada mesin berotasi, sebuah tegangan akan

Lebih terperinci

Bab 2. Landasan Teori

Bab 2. Landasan Teori 6 Bab 2 Landasan Teori 2.1 Sistem Kontrol Kata kontrol atau pengendalian mempunyai arti mengatur, mengarahkan dan memerintah. Dengan kata lain bahwa sistem pengendalian adalah susunan komponen - komponen

Lebih terperinci

DAC - ADC Digital to Analog Converter Analog to Digital Converter

DAC - ADC Digital to Analog Converter Analog to Digital Converter DAC - ADC Digital to Analog Converter Analog to Digital Converter Missa Lamsani Hal 1 Konverter Alat bantu digital yang paling penting untuk teknologi kontrol proses adalah yang menerjemahkan informasi

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN SISTEM

BAB IV ANALISA DAN PENGUJIAN SISTEM BAB IV ANALISA DAN PENGUJIAN SISTEM 4.1 Pengujian Perangkat Keras (Hardware) Pengujian perangkat keras sangat penting dilakukan karena melalui pengujian ini rangkaian-rangkaian elektronika dapat diuji

Lebih terperinci

BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN

BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN 2.1. C-V Meter Karakteristik kapasitansi-tegangan (C-V characteristic) biasa digunakan untuk mengetahui karakteristik suatu

Lebih terperinci

pengendali Konvensional Time invariant P Proportional Kp

pengendali Konvensional Time invariant P Proportional Kp Strategi Dalam Teknik Pengendalian Otomatis Dalam merancang sistem pengendalian ada berbagai macam strategi. Strategi tersebut dikatakan sebagai strategi konvensional, strategi modern dan strategi berbasis

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

ADC dan DAC Rudi Susanto

ADC dan DAC Rudi Susanto ADC dan DAC Rudi Susanto Analog To Digital Converter Sinyal Analog : sinyal kontinyu atau diskontinyu yang didasarkan pada waktu. Sinyal analog dapat dihasilkan oleh alam atau buatan. Contoh sinyal analog

Lebih terperinci

Module : Sistem Pengaturan Kecepatan Motor DC

Module : Sistem Pengaturan Kecepatan Motor DC Module : Sistem Pengaturan Kecepatan Motor DC PERCOBAAN 2 SISTEM PENGATURAN KECEPATAN MOTOR DC 2.1. PRASYARAT Memahami komponen yang digunakan dalam praktikum sistem pengaturan kecepatan motor dc Memahami

Lebih terperinci

DAFTAR ISTILAH. : perangkat keras sistem : perangkat lunak sistem. xiii

DAFTAR ISTILAH. : perangkat keras sistem : perangkat lunak sistem. xiii DAFTAR ISTILAH USART : Jenis komunikasi antar mikrokontroler tipe serial yang menggunakan pin transmitter dan receiver. Membership function : Nilai keanggotaan masukan dan keluaran dari logika fuzzy. Noise

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING) I. TUJUAN Tujuan dari pembuatan modul Penguat Inverting ini adalah: 1. Mahasiswa mengetahui karakteristik rangkaian penguat inverting sebagai

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Sistem Kendali Sistem kendali adalah proses pengaturan ataupun pengendalian terhadap satu atau beberapa besaran (variabel, parameter) sehingga berada pada suatu harga atau dalam

Lebih terperinci

RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE)

RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE) Makalah Seminar Tugas Akhir RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE) Heru Triwibowo [1], Iwan Setiawan [2], Budi Setiyono

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 1.1 Metode Pengasapan Cold Smoking Ikan asap merupakan salah satu makanan khas dari Indonesia. Terdapat dua jenis pengasapan yang dapat dilakukan pada bahan makanan yaitu hot smoking

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 Materi-2 SENSOR DAN TRANSDUSER 52150802 (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 KONSEP AKUISISI DATA DAN KONVERSI PENGERTIAN Akuisisi data adalah pengukuran sinyal elektrik dari transduser dan peralatan

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang

BAB I PENDAHULUAN Latar Belakang 1 BAB I PENDAHULUAN 1.1. Latar Belakang Pemakaian sistem kendali otomatis saat ini merupakan kebutuhan yang sangat utama untuk menjaga agar proses produksi berjalan seperti yang direncanakan, mengurangi

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Programmable Logic Controller Proses di berbagai bidang industri manufaktur biasanya sangat kompleks dan melingkupi banyak subproses. Setiap subproses perlu dikontrol secara seksama

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II

MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II DEPARTEMEN ELEKTRO FAKULTAS TEKNIK UNIVERSITAS INDONESIA DEPOK A. OP-AMP Sebagai Peguat TUJUAN PERCOBAAN PERCOBAAN VII OP-AMP SEBAGAI PENGUAT DAN KOMPARATOR

Lebih terperinci

Pengkondisian Sinyal. Rudi Susanto

Pengkondisian Sinyal. Rudi Susanto Pengkondisian Sinyal Rudi Susanto Tujuan Perkuliahan Mahasiswa dapat menjelasakan rangkaian pengkondisi sinyal sensor Mahasiswa dapat menerapkan penggunaan rangkaian pengkondisi sinyal sensor Pendahuluan

Lebih terperinci

BAB 2 TINJAUAN PUSTAKA

BAB 2 TINJAUAN PUSTAKA BAB 2 TINJAUAN PUSTAKA 2.1. LED (Light Emitting Diode) LED (Light Emitting Diode) adalah dioda yang memancarkan cahaya jika diberi tegangan tertentu. LED terbuat dari bahan semikonduktor tipe-p (pembawa

Lebih terperinci

SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560

SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560 1 SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560 Adityan Ilmawan Putra, Pembimbing 1: Purwanto, Pembimbing 2: Bambang Siswojo.

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas mengenai teori teori yang mendasari perancangan dan perealisasian inductive wireless charger untuk telepon seluler. Teori-teori yang digunakan dalam skripsi

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

II. TINJAUAN PUSTAKA

II. TINJAUAN PUSTAKA II. TINJAUAN PUSTAKA 2.1. Medan Magnet Medan Magnet, dalam ilmu Fisika, adalah suatu medan yang dibentuk dengan menggerakan muatan listrik (arus listrik) yang menyebabkan munculnya gaya di muatan listrik

Lebih terperinci

PENGENALAN OPERATIONAL AMPLIFIER (OP-AMP)

PENGENALAN OPERATIONAL AMPLIFIER (OP-AMP) + PENGENALAN OPERATIONAL AMPLIFIER (OPAMP) Penguat operasional atau Operational Amplifier (OPAMP) yaitu sebuah penguat tegangan DC yang memiliki 2 masukan diferensial. OPAMP pada dasarnya merupakan sebuah

Lebih terperinci

BAB II DASAR TEORI. Modulasi adalah proses yang dilakukan pada sisi pemancar untuk. memperoleh transmisi yang efisien dan handal.

BAB II DASAR TEORI. Modulasi adalah proses yang dilakukan pada sisi pemancar untuk. memperoleh transmisi yang efisien dan handal. BAB II DASAR TEORI 2.1 Modulasi Modulasi adalah proses yang dilakukan pada sisi pemancar untuk memperoleh transmisi yang efisien dan handal. Pemodulasi yang merepresentasikan pesan yang akan dikirim, dan

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER)

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) A. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 8 Bit. 2. Mahasiswa dapat merancang rangkaian ADC

Lebih terperinci

SIMULATOR RESPON SISTEM UNTUK MENENTUKAN KONSTANTA KONTROLER PID PADA MEKANISME PENGENDALIAN TEKANAN

SIMULATOR RESPON SISTEM UNTUK MENENTUKAN KONSTANTA KONTROLER PID PADA MEKANISME PENGENDALIAN TEKANAN SIMULATOR RESPON SISTEM UNTUK MENENTUKAN KONSTANTA KONTROLER PID PADA MEKANISME PENGENDALIAN TEKANAN Dwiana Hendrawati Prodi Teknik Konversi Energi Jurusan Teknik Mesin Politeknik Negeri Semarang Jl. Prof.

Lebih terperinci

Politeknik Elektronika Negeri Surabaya ITS Kampus ITS Sukolilo,Surabaya

Politeknik Elektronika Negeri Surabaya ITS Kampus ITS Sukolilo,Surabaya Pengaturan Kecepatan Motor Induksi 3ø dengan Kontrol PID melalui Metode Field Oriented Control (FOC) ( Rectifier, Inverter, Sensor arus dan Sensor tegangan) Denny Septa Ferdiansyah 1, Gigih Prabowo 2,

Lebih terperinci

DT-51 Application Note

DT-51 Application Note DT-51 Application Note AN116 DC Motor Speed Control using PID Oleh: Tim IE, Yosef S. Tobing, dan Welly Purnomo (Institut Teknologi Sepuluh Nopember) Sistem kontrol dengan metode PID (Proportional Integral

Lebih terperinci

RESPON SISTEM DITINJAU DARI PARAMETER KONTROLER PID PADA KONTROL POSISI MOTOR DC

RESPON SISTEM DITINJAU DARI PARAMETER KONTROLER PID PADA KONTROL POSISI MOTOR DC RESPON SISTEM DITINJAU DARI PARAMETER KONTROLER PID PADA KONTROL POSISI MOTOR DC Dwiana Hendrawati Prodi Teknik Konversi Energi Jurusan Teknik Mesin Politeknik Negeri Semarang Jl. Prof. H. Sudarto, SH.,

Lebih terperinci

BAB 1 PENDAHULUAN. dunia industri diperhadapkan pada suatu persaingan (kompetisi). Kompetisi dapat

BAB 1 PENDAHULUAN. dunia industri diperhadapkan pada suatu persaingan (kompetisi). Kompetisi dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Untuk dapat meraih suatu tujuan yang dikehendaki, akhir akhir ini dunia industri diperhadapkan pada suatu persaingan (kompetisi). Kompetisi dapat meliputi kemampuan

Lebih terperinci

Bab VI. Motor Stepper

Bab VI. Motor Stepper Bab VI Motor Stepper 64 6.1. Pendahuluan Motor stepper adalah motor DC yang khusus berputar dalam suatu derajat yang tetap yang disebut step (langkah). Satu step antara 0,9 sampai 90. Motor stepper terdiri

Lebih terperinci

BAB II LANDASAN TEORI. membandingkan tersebut tiada lain adalah pekerjaan pengukuran atau mengukur.

BAB II LANDASAN TEORI. membandingkan tersebut tiada lain adalah pekerjaan pengukuran atau mengukur. BAB II LANDASAN TEORI II.I. Pengenalan Alat Ukur. Pengukuran merupakan suatu aktifitas dan atau tindakan membandingkan suatu besaran yang belum diketahui nilainya atau harganya terhadap besaran lain yang

Lebih terperinci

MODUL 08 Penguat Operasional (Operational Amplifier)

MODUL 08 Penguat Operasional (Operational Amplifier) P R O G R A M S T U D I F I S I K A F M I P A I T B LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI MODUL 08 Penguat Operasional (Operational Amplifier) 1 TUJUAN Memahami prinsip kerja Operational Amplifier.

Lebih terperinci

JOBSHEET 2 PENGUAT INVERTING

JOBSHEET 2 PENGUAT INVERTING JOBSHEET 2 PENGUAT INVERTING A. TUJUAN Tujuan dari pembuatan modul Penguat Inverting ini adalah: 1. Mahasiswa mengetahui karakteristik rangkaian penguat inverting sebagai aplikasi dari rangkaian Op-Amp.

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

Universitas Medan Area

Universitas Medan Area BAB II TINJAUAN PUSTAKA 2.1 Landasan teori Generator listrik adalah suatu peralatan yang mengubah enersi mekanis menjadi enersi listrik. Konversi enersi berdasarkan prinsip pembangkitan tegangan induksi

Lebih terperinci

JOBSHEET 6 PENGUAT INSTRUMENTASI

JOBSHEET 6 PENGUAT INSTRUMENTASI JOBSHEET 6 PENGUAT INSTUMENTASI A. TUJUAN Tujuan dari pembuatan modul Penguat Instrumentasi ini adalah :. Mahasiswa mengetahui karakteristik rangkaian penguat instrumentasi sebagai aplikasi dari rangkaian

Lebih terperinci

BAB IV ANALISIS RANGKAIAN ELEKTRONIK

BAB IV ANALISIS RANGKAIAN ELEKTRONIK BAB IV ANALISIS RANGKAIAN ELEKTRONIK 4.1 Rangkaian Pengontrol Bagian pengontrol sistem kontrol daya listrik, menggunakan mikrokontroler PIC18F4520 seperti yang ditunjukkan pada Gambar 30. Dengan osilator

Lebih terperinci

BAB VII METODE OPTIMASI PROSES

BAB VII METODE OPTIMASI PROSES BAB VII METODE OPTIMASI PROSES Tujuan Pembelajaran Umum: Setelah membaca bab ini diharapkan mahasiswa dapat memahami Metode Optimasi Proses Pengendalian dalam Sistem Kendali. Tujuan Pembelajaran Khusus:

Lebih terperinci

BAB I PENDAHULUAN. menggerakan belt conveyor, pengangkat beban, ataupun sebagai mesin

BAB I PENDAHULUAN. menggerakan belt conveyor, pengangkat beban, ataupun sebagai mesin 1 BAB I PENDAHULUAN 1.1. Latar Belakang Motor DC atau motor arus searah yaitu motor yang sering digunakan di dunia industri, biasanya motor DC ini digunakan sebagai penggerak seperti untuk menggerakan

Lebih terperinci

Elektronika Lanjut. Penguat Instrumen. Elektronika Lanjut Missa Lamsani Hal 1

Elektronika Lanjut. Penguat Instrumen. Elektronika Lanjut Missa Lamsani Hal 1 Penguat Instrumen Missa Lamsani Hal 1 . Missa Lamsani Hal 2 / 28 Penguat Instrumentasi Penguat instrumentasi adalah suatu loop tertutup (close loop) dengan masukan differensial dan penguatannya dapat diatur

Lebih terperinci

PENGATURAN POSISI MOTOR SERVO DC DENGAN METODE P, PI, DAN PID

PENGATURAN POSISI MOTOR SERVO DC DENGAN METODE P, PI, DAN PID PENGATURAN POSISI MOTOR SERVO DC DENGAN METODE P, PI, DAN PID Nanang Budi Hartono, Kemalasari, Bambang Sumantri, Ardik Wijayanto Jurusan Teknik Elektronika, Politeknik Elektronika Negeri Surabaya Kampus

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

BABV INSTRUMEN PENGUAT

BABV INSTRUMEN PENGUAT BABV INSTRUMEN PENGUAT Operasional Amplifier (Op-Amp) merupakan rangkaian terpadu (IC) linier yang hampir setiap hari terlibat dalam pemakaian peralatan elektronik yang semakin bertambah di berbagai bidang

Lebih terperinci

BAB 4. Rangkaian Pengolah Sinyal Analog

BAB 4. Rangkaian Pengolah Sinyal Analog DIKTAT KULIAH Elektronika Industri & Otomasi (IE-204) BAB 4. Rangkaian Pengolah Sinyal Analog Diktat ini digunakan bagi mahasiswa Jurusan Teknik Industri Fakultas Teknik Universitas Kristen Maranatha JURUSAN

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. MOSFET MOSFET atau Metal Oxyde Semiconductor Field Effect Transistor merupakan salah satu jenis transistor efek medan (FET). MOSFET memiliki tiga pin yaitu gerbang (gate), penguras

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT 39 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik Eskalator. Sedangkan untuk pembuatan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan membahas tentang pemodelan perancangan sistem, hal ini dilakukan untuk menunjukkan data dan literatur dari rancangan yang akan diteliti. Selain itu, perancangan

Lebih terperinci

KARYA TULIS ILMIAH MENGETAHUI DAN MENGANALISA KELUARAN PENGUAT INTEGRATOR (INTEGRATOR AMPLIFIER)

KARYA TULIS ILMIAH MENGETAHUI DAN MENGANALISA KELUARAN PENGUAT INTEGRATOR (INTEGRATOR AMPLIFIER) KARYA TULIS ILMIAH MENGETAHUI DAN MENGANALISA KELUARAN PENGUAT INTEGRATOR (INTEGRATOR AMPLIFIER) Ir. Ida Bagus Sujana Manuaba, M.Sc Nyoman Wendri, S.Si., M.Si JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU

Lebih terperinci

BAB II DASAR TEORI. sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda.

BAB II DASAR TEORI. sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda. BAB II DASAR TEORI. Umum Pada kebanyakan sistem, baik itu elektronik, finansial, maupun sosial sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda. Karena sebagian besar sinyal

Lebih terperinci

BAB I PENDAHULUAN. Motor listrik adalah mesin listrik yang mengubah energi listrik ke energi

BAB I PENDAHULUAN. Motor listrik adalah mesin listrik yang mengubah energi listrik ke energi BAB I PENDAHULUAN 1.1. Latar Belakang Motor listrik adalah mesin listrik yang mengubah energi listrik ke energi mekanik. Motor listrik merupakan salah satu realisasi dari kaidah gaya Lorentz. Apabila muatan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS

BAB IV PENGUJIAN DAN ANALISIS BAB IV PENGUJIAN DAN ANALISIS Pada bab ini akan dijelaskan mengenai pengujian dan analisis alat peraga sistem kendali pendulum terbalik yang meliputi pengujian dimensi mekanik, pengujian dimensi dan massa

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias BAB IV PENGUJIAN ALAT DAN ANALISIS DATA 4.1. Kalibrasi Sistem CV Meter Kalibrasi yang dilakukan meliputi kalibrasi IDAC, IDAC1, Vstep dan ADC. IDAC yang digunakan mempunyai resolusi 8 bit dengan arus skala

Lebih terperinci

BAB I SISTEM KONTROL TNA 1

BAB I SISTEM KONTROL TNA 1 BAB I SISTEM KONTROL Kata kontrol sering kita dengar dalam pembicaraan sehari-hari. Kata kontrol disini dapat diartikan "mengatur", dan apabila kita persempit lagi arti penggunaan kata kontrol dalam teknik

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Gambar 2.1 Perangkat UniTrain-I dan MCLS-modular yang digunakan dalam Digital Signal Processing (Lucas-Nulle, 2012)

Gambar 2.1 Perangkat UniTrain-I dan MCLS-modular yang digunakan dalam Digital Signal Processing (Lucas-Nulle, 2012) BAB II TINJAUAN PUSTAKA 2.1 Digital Signal Processing Pada masa sekarang ini, pengolahan sinyal secara digital yang merupakan alternatif dalam pengolahan sinyal analog telah diterapkan begitu luas. Dari

Lebih terperinci

MODUL 08 OPERATIONAL AMPLIFIER

MODUL 08 OPERATIONAL AMPLIFIER MODUL 08 OPERATIONAL AMPLIFIER 1. Tujuan Memahami op-amp sebagai penguat inverting dan non-inverting Memahami op-amp sebagai differensiator dan integrator Memahami op-amp sebagai penguat jumlah 2. Alat

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Gambar 3.1. Diagram alir metodologi perancangan

Gambar 3.1. Diagram alir metodologi perancangan 19 BAB 3 METODOLOGI PERANCANGAN 3.1. Metode Perancangan Berikut merupakan diagram alur kerja yang menggambarkan tahapantahapan dalam proses rancang bangun alat pemutus daya siaga otomatis pada Peralatan

Lebih terperinci

peralatan-peralatan industri maupun rumah tangga seperti pada fan, blower, pumps,

peralatan-peralatan industri maupun rumah tangga seperti pada fan, blower, pumps, 1.1 Latar Belakang Kebutuhan tenaga listrik meningkat mengikuti perkembangan kehidupan manusia dan pertumbuhan di segala sektor industri yang mengarah ke modernisasi. Dalam sebagian besar industri, sekitar

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai

Lebih terperinci

Elektronika. Pertemuan 8

Elektronika. Pertemuan 8 Elektronika Pertemuan 8 OP-AMP Op-Amp adalah singkatan dari Operational Amplifier IC Op-Amp adalah piranti solid-state yang mampu mengindera dan memperkuat sinyal, baik sinyal DC maupun sinyal AC. Tiga

Lebih terperinci

Pengontrolan Sistem Eksiter Untuk Kestabilan Tegangan Di Sistem Single Machine Infinite Bus (SMIB) Menggunakan Metode PID

Pengontrolan Sistem Eksiter Untuk Kestabilan Tegangan Di Sistem Single Machine Infinite Bus (SMIB) Menggunakan Metode PID JURNAL INTAKE---- Vol. 5, Nomor 2, Oktober 2014 Pengontrolan Sistem Eksiter Untuk Kestabilan Tegangan Di Sistem Single Machine Infinite Bus (SMIB) Menggunakan Metode PID Alamsyah Ahmad Teknik Elektro,

Lebih terperinci

BAB II PENCUPLIKAN DAN KUANTISASI

BAB II PENCUPLIKAN DAN KUANTISASI BAB II PENCUPLIKAN DAN KUANTISASI Sebagian besar sinyal-sinyal di alam adalah sinyal analog. Untuk memproses sinyal analog dengan sistem digital, perlu dilakukan proses pengubahan sinyal analog menjadi

Lebih terperinci

BAB II DASAR TEORI. Gambar 2.1.(a). Blok Diagram Kelas D dengan Dua Aras Keluaran. (b). Blok Diagram Kelas D dengan Tiga Aras Keluaran.

BAB II DASAR TEORI. Gambar 2.1.(a). Blok Diagram Kelas D dengan Dua Aras Keluaran. (b). Blok Diagram Kelas D dengan Tiga Aras Keluaran. BAB II DASAR TEORI Dalam bab dua ini penulis akan menjelaskan teori teori penunjang utama dalam merancang penguat audio kelas D tanpa tapis LC pada bagian keluaran menerapkan modulasi dengan tiga aras

Lebih terperinci

BAB II ANALOG SIGNAL CONDITIONING

BAB II ANALOG SIGNAL CONDITIONING BAB II ANALOG SIGNAL CONDITIONING 2.1 Pendahuluan Signal Conditioning ialah operasi untuk mengkonversi sinyal ke dalam bentuk yang cocok untuk interface dengan elemen lain dalam sistem kontrol. Process

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

M O T O R D C. Motor arus searah (motor dc) telah ada selama lebih dari seabad. Keberadaan motor dc telah membawa perubahan besar sejak dikenalkan

M O T O R D C. Motor arus searah (motor dc) telah ada selama lebih dari seabad. Keberadaan motor dc telah membawa perubahan besar sejak dikenalkan M O T O R D C Motor arus searah (motor dc) telah ada selama lebih dari seabad. Keberadaan motor dc telah membawa perubahan besar sejak dikenalkan motor induksi, atau terkadang disebut Ac Shunt Motor. Motor

Lebih terperinci

REZAN NURFADLI EDMUND NIM.

REZAN NURFADLI EDMUND NIM. MEKATRONIKA Disusun oleh : REZAN NURFADLI EDMUND NIM. 125060200111075 KEMENTERIAN PENDIDIKAN NASIONAL UNIVERSITAS BRAWIJAYA FAKULTAS TEKNIK MALANG 2014 BAB I PENDAHULUAN A. Latar Belakang Respon berasal

Lebih terperinci

BAB VIII SISTEM KENDALI

BAB VIII SISTEM KENDALI BAB VIII SISTEM KENDALI VIII.1 Struktur Sistem Kendali Sistem kendali proses dapat didefinisikan sebagai fungsi dan operasi yang perlu untuk mengubah bahan baik secara fisik maupun kimia. Kendali proses

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013.

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013. III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilaksanakan pada bulan Juli 2012 sampai dengan Januari 2013. Perancangan alat penelitian dilakukan di Laboratorium Elektronika, Laboratorium

Lebih terperinci

KONTROL PROPORSIONAL INTEGRAL DERIVATIF (PID) UNTUK MOTOR DC MENGGUNAKAN PERSONAL COMPUTER

KONTROL PROPORSIONAL INTEGRAL DERIVATIF (PID) UNTUK MOTOR DC MENGGUNAKAN PERSONAL COMPUTER KONTROL PROPORSIONAL INTEGRAL DERIVATIF (PID) UNTUK MOTOR DC MENGGUNAKAN PERSONAL COMPUTER Erwin Susanto Departemen Teknik Elektro, Institut Teknologi Telkom Bandung Email: ews@ittelkom.ac.id ABSTRACT

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

MODUL 09 PENGUAT OPERATIONAL (OPERATIONAL AMPLIFIER) PRAKTIKUM ELEKTRONIKA TA 2017/2018

MODUL 09 PENGUAT OPERATIONAL (OPERATIONAL AMPLIFIER) PRAKTIKUM ELEKTRONIKA TA 2017/2018 MODUL 09 PENGUAT OPERATIONAL (OPERATIONAL AMPLIFIER) PRAKTIKUM ELEKTRONIKA TA 2017/2018 LABORATORIUM ELEKTRONIKA & INSTRUMENTASI PROGRAM STUDI FISIKA, INSTITUT TEKNOLOGI BANDUNG Riwayat Revisi Rev. 07-06-2017

Lebih terperinci