BAB 2 LANDASAN TEORI. 2.1 Pengenalan Field Programmable Gate Array (FPGA)

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB 2 LANDASAN TEORI. 2.1 Pengenalan Field Programmable Gate Array (FPGA)"

Transkripsi

1 BAB 2 LANDASAN TEORI 2.1 Pengenalan Field Programmable Gate Array (FPGA) FPGA (Field Programmable Gate Array) merupakan salah satu tipe dari PLD (Programmable Logic Devic) atau komponen logic yang dapat diprogram fungsi logicnya sesuai dengan keinginan. Di dalam chip FPGA terdapat ribuan sampai jutaan gerbang, dimana gerbang-gerbang tersebut akan membentuk fungsi-fungsi logic. FPGA terdiri dari kumpulan Configurable Logic Blocks (CLBs) dan dihubungkan oleh jalur-jalur yang dikendalikan oleh Programmable Switch Matrix (PSM), dan Input/KeluaranBlock (IOB) (Gambar 2.1). (Mano dan Kime, 2001, p ) Gambar 2.1 Tiga Komponen Utama FPGA 7

2 8 Informasi program untuk mengontrol elemen logika yang dapat dikonfigurasi dan interkoneksi antara sumber-sumber, disimpan menggunakan teknologi SRAM (Static Random Access Memory). Implementasi logika dari pengontrolan oleh bit-bit SRAM menggunakan tiga teknik, yaitu Pass Transistor Control (Gambar 2.2a), Multiplexer Control (Gambar 2.2b), dan Look Up Table (LUT) (Gambar 2.2c). (Mano dan Kime, 2001,p329) Gambar 2.2 Tiga Teknik Implementasi Pengontrolan Logika pada FPGA CLB merupakan array dari blok-blok atau elemen untuk mengkonfigurasi atau membangun logika. Blok-blok dasar yang membangun sebuah CLB disebut Logic Cell (LC). Setiap LC mengandung 4-masukan function generator, carry logic, dan storage element. Setiap CLB terdiri dari empat buah LC yang dikelompokkan kedalam dua buah potongan yang serupa (Gambar 2.3). (Xilinx, Inc, 2003, p3).

3 9 Gambar 2.3 Skematik Sebuah Slice Masing-masing CLB dapat diprogram dengan fungsi logic yang diinginkan. Hubungan antara CLB ke masukan dan keluaran pin dapat diprogram melalui PSM. CLB sendiri terdiri dari komponen-komponen, terutama Random Access Memory (RAM) dan multiplexer. RAM di dalam CLB terutama digunakan sebagai Look Up Table (LUT) yang akan menyimpan data sesuai dengan fungsi logic yang ingin

4 10 dibentuk. Alamat dari LUT ini menjadi masukan bagi fungsi logic, dan outputnya dihasilkan dari data yang tersimpan di dalam LUT. Untuk dapat berinteraksi FPGA mempunyai IOB (Input Output Block) yang berfungsi sebagai penghubung rangkaian digital di dalam FPGA dengan komponenkomponen lain di luar chip FPGA. IOB dapat berfungsi sebagai port masukan maupun keluaran (Bidirectional) dengan masing masing pin I/O FPGA terhubung ke satu IOB. IOB terdiri dari register dan three-state buffer. Register pada IOB berfungsi sebagai edge-triggered D-type flip-flop atau sebagai level-sensitive latch (Gambar 2.4). Three-state buffer memungkinkan I/O pin digunakan sebagai masukan, keluaran, atau masukan/keluaran (Xilinx,Inc,2000, p2-9). Gambar 2.4 Skematik IOB

5 11 Rangkaian Delay-locked Loop (DLL) memungkinkan zero propagation delay, LOW clock skew dari sinyal clock keluaran yang disebarkan ke setiap device, dan clock domain control yang mutakhir.(xilinx, Inc, 2003, p20) Xilinx Spartan FPGA FPGA yang digunakan pada penelitian ini merupakan produk dari perusahaan Xilinx. Untuk penelitian, digunakan Development Kit dari perusahaan Digilent yang menggunakan FPGA dengan tipe XCS10/XL, berasal dari keluarga Spartan dengan kapasitas sekitar gerbang, memiliki 196 CLB, serta dapat dioperasikan dengan kecepatan hingga lebih dari 80 MHz. akan tetapi chip oscillator yang terpasang pada board development kit hanya mampu mencapai frekuensi 50 MHz. (Xilinx,Inc,2000, p1). (Digilent, 2000, p12). Pada board ini dapat dilakukan pengujian fungsi dari masing-masing blok fungsi sistem, baik pada frekuensi yang rendah maupun tinggi. Pemrograman terhadap FPGA dapat dilakukan oleh PC melalui port paralel, maupun menggunakan Serial Programmable Read Only Memory (SPROM) yang akan langsung memprogram FPGA saat power diberikan. Akan tetapi chip SPROM ini hanya dapat ditulis sekali dan tidak dapat dihapus atau diprogram ulang, sehingga penggunaannya sangat terbatas untuk sistem yang telah sempurna.

6 Algorithmic State Machine (ASM) Chart ASM (Algorithmic State Machine) chart merupakan salah satu jenis flowchart yang dapat digunakan untuk merepresentasikan perubahaan state dan keluaran yang dihasilkan dari sebuah rangkaian sekuensial. ASM chart mempunyai 3 (tiga) komponen yaitu: state box, decision box, dan conditional output box. State box merupakan sebuah kotak yang merepresentasikan sebuah state dari rangkaian sekuensial. Gambar 2.5 Komponen-komponen pada ASM Chart Foundation Series Untuk merancang desain sistem, digunakan software Foundation Series 2.1i dari perusahaan Xilinx (Gambar 2.6). Sehingga rangkaian yang akan dibuat dapat didesain, disimulasikan dan diimplementasikan ke dalam

7 development kit untuk diamati hasilnya. Hasil rancangan ditransfer ke dalam FPGA melalui proses yang disebut programming. 13 Gambar 2.6 Tampilan Software Foundation Series Sistem yang dirancang dapat berupa skema rangkaian digital (schematic), bahasa pemrograman Hardware Description Language (HDL), dan State Diagram yang kemudian akan dikompile oleh software tersebut ke dalam bit file yang dimengerti oleh FPGA. (Xilinx. Inc, 2000).

8 Skematik Skematik merupakan bahasa pemrograman berdasarkan kombinasi gerbang-gerbang logika. Di dalamnya sudah disertakan modul-modul umum seperti penjumlah (full adder, half adder), pengali dan sebagainya. Bahkan modul-modul aplikasi seperti Arithmetic Logic Unit (ALU) dan lain-lain juga disertakan dalam modul Core Generator (Gambar 2.7). Gambar 2.7 Tampilan Skematik Rangkaian Digital

9 15 Untuk memprogram FPGA menggunakan skematik digunakanlah Schematic Editor, dimana Schematic Editor adalah sebagai alat masukan desain yang pertama kali. Mendukung pembuatan hierarki skematik lebih dari satu halaman VHDL (VHSIC (Very HIGH Speed Integrated Circuit) Hardware Description Language) VHDL merupakan standar yang dikembangkan oleh IEEE (Institute of Electrical and Electronics Engineers). Standar yang digunakan secara luas adalah VHDL , sedangkan versi revisinya VHDL masih dalam proses untuk menggantikan versi yang lama. VHDL dapat digunakan sebagai dokumentasi, pembuktian, dan sintesa pada rancangan digital berukuran besar. VHDL menggunakan tiga pendekatan untuk mendiskripsikan hardware. Ketiga pendekatan itu adalah metode structural, data flow, dan behavioral. (http// 2003) (Gambar 2.8)

10 16 Gambar 2.8 Tampilan HDL Metode structural membagi rancangan ke dalam beberapa blok agar mudah dimengerti dan diatur. Blok-blok tersebut kemudian dihubungkan hingga membentuk rancangan yang utuh. Setiap blok pada VHDL dapat disamakan pada sebuah bagian yang berdiri sendiri yang disebut entity. Entity juga menggambarkan antarmuka rancangan. Component menggambarkan antarmuka dari entity yang akan digunakan sebagai sebuah instance (sub blok). Component instance adalah salinan lain dari sebuah component yang akan dihubungkan ke bagian (part) dan sinyal lain.

11 17 Pada metode data flow, jalur digambarkan dengan menyatakan bagaimana masukan dan keluaran dalam komponen primitif (seperti gerbang AND) terhubung. Bagian arsitektur menggambarkan operasi internal dari sebuah rancangan dan metode ini menentukan bagaimana aliran data dari masukan hingga keluaran. Pendekatan dengan metode behavioral berbeda dengan dua metode sebelumnya. Metode ini tidak benar-benar menggambarkan bagaimana rancangan diimplementasikan. Dasarnya adalah pendekatan kotak hitam (black box) dalam melakukan pemodelan, tidak peduli apa isi kotak hitam tersebut dan bagaimana cara kerjanya. Penjabaran behavioral didukung oleh process statement yang muncul dalam badan architecture declaration seperti pada saat menyatakan signal assigment. Isi dari process statement dapat diurutkan penulisannya seperti pada sequential statement yang ditemukan dalam bahasa pemrograman. Gambar pada skematik dapat langsung menyampaikan struktur rancangan, tetapi karena formatnya yang spesifik menyebabkan skematik tidak portable. VHDL lebih portable dan mudah dimodifikasi. Banyak development software yang hanya mendukung representasi textual dari rancangan (seperti VHDL, Verilog, Abel, dan HDL yang lain). Ada beberapa tool yang

12 memungkinkan perubahan format dari representasi textual yang satu ke yang lainnya State Diagram Bahasa pemrograman yang dikhususkan untuk alat yang sekuensial. Untuk pemrogramannya digunakan State Editor (Gambar 2.9). State Editor adalah alat desain untuk mengedit grafik dari diagram state. Kelebihannya dibanding HDL adalah pemrogrman HDL harus ditulis sendiri kodenya, sedangkan menggunakan state diagram hanya dengan mendeskripsikan logic block sebagai diagram grafik saja. Gambar 2.9 Tampilan State Diagram

13 ADC (Analog to Digital Converter) Komponen ADC 0809 (Gambar 2.10) adalah sebuah alat CMOS yang monolitik dengan 8 bit konversi analog ke digital, 8 kanal multiplexer dan mikroprosessor yang kompatibel dengan control logic. 8 bit converter analog ke digital menggunakan teknik aproksimasi sebagai teknik konversinya. Pengubah ini menghasilkan impedansi chopper yang sangat tinggi dari komparator yang telah terstabilisasi, 256R pembagi tegangan dengan pohon switch analog dan register yang bisa diaproksimasi. 8 kanal multiplexernya dapat diakses langsung dari salah satu 8 signal analognya. Gambar 2.10 ADC 0809

14 20 IC ini menghilangkan kebutuhan akan nilai nol eksternal dan pencocokan skala secara menyeluruh. Interfacing yang mudah ke microprosessor dihasilkan dari alamat masukan multiplexer dan keluaran dari TTL TRI-STATE. ADC 0809 memberikan kecepatan yang tinggi, akurasi yang tinggi, ketergantungan suhu yang rendah, keakuratan dan pengulangan jangka panjang yang sangat baik sekali, dan membutuhkan tenaga yang sangat rendah. Karakteristiknya : 1. Sebagai alat penghubung yang mudah ke semua mikroprosessor. 2. Beroperasi secara ratiometrically atau dengan 5 VDC atau tergantung dari rentang tegangannya. 3. Tidak ada nol atau tidak perlu melakukan penyesuaian secara penuh channel multiplexer dengan alamat logika 5. 0V hingga 5V sebagai masukannya 6. beroperasi dengan power supply sebesar 5V

15 21 Analog Input Multiplexer Gambar 2.11 Blok Diagram ADC 0809 ADC 0809 memiliki 8 kanal signal analog multiplexer. Dari 8 kanal sebagai masukan akan dipilih salah satu sebagai masukan dengan menggunakan alamat dari decoder. Sebagai inti dari ADC 0809 adalah kemampuannya untuk mengubah 8 bit data analog menjadi 8 bit data digital. ADC 0809 didesain untuk memberikan data yang cepat, akurat, dan konversi secara berulang-ulang dengan jangkaun kondisi suhu yang luas. Pengubah ini dibagi menjadi tiga bagian penting, yaitu 256R pembagi tegangan, register dengan pendekatan succesive dan komparator.

16 22 Keluaran dari pengubah adalah data yang sudah digital. Penggunaan pembagi tegangan 256R daripada pembagi R/2R karena inherent monotonicity, dimana dijamin tidak akan ada kode digital yang hilang. Monotonicity sangat penting untuk rangkain yang bersifat lup tertutup dan memberikan masukan ulang ke kontrol sistem. Hubungan yang non-monotonic dapat menyebabkan osilasi yang akan menjadi katastropik ke sistem. Biasanya, pembagi tegangan 256R tidak mengakibatkan tegangan referensi. Tahanan yang paling atas dan paling bawah dari pembagi tegangan tidak sama nilainya dengan pengingat jaringan. Perbedaannya adalah tahanan tersebut akan memberikan keluaran karakteristik yang simetrik dengan nol dan skala penuh dari kurva transfernya. Keluaran pertama kali ada pada saat signal analog sudah mencapai +1/2 LSB dan keluaran selanjutnya pada setiap kenaikan 2 LSB. Register dengan pendekatan succesive (SAR) menampilkan 8 kali iterasi sebagai tegangan masukkannya. Pada ADC 0809 teknik pendekatannya ditingkatkan menjadi 8 bit dengan menggunakan pembagi tegangan 256R. SAR akan di reset pada saat positive edge dari pulsa start conversion (SC) muncul. Perubahan baru akan terjadi pada saat falling edge dari pulsa perubahannya. Perubahan yang terjadi di dalam proses akan terinterupsi apabila ada pulsa perubah yang baru. Perubahan data secara terus-menerus akan berhenti apabila keluaran dari end of conversion (EOC) diberikan sebagai masukkan ke SC. Hal yang paling penting dari sebuah pengubah data analog ke digital adalah komparatornya. Komparator memiliki peran yang sangat penting untuk memberikan keakuratan ke seluruh pengubah. Komparator akan mengubah signal masukan DC menjadi signal AC. Signal tersebut akan diberikan melalui

17 23 amplifier AC dengan penguatan yang tinggi dan level DC yang rendah. Hal ini membuat pengubah ADC 0809 tidak terlalu sensitif terhadap suhu. Untuk mengubah data analog menjadi data digital ADC 0809 membutuhkan pembangkit clock eksternal sekitar 500 KHz. Maka ADC 0809 disambungkan dengan IC LM 555 sebagai pembangkit pulsa clock-nya. 2.3 Motor DC Penggunaan motor DC (Gambar 2.12), mempunyai beberapa keunggulan daripada motor lainnya antara lain: mempunyai torsi yang cukup kuat dan bekerja tetap konstan walaupun kondisi beban motor tersebut berubah serta arah putarannya dapat dibalik dengan mudah, cukup hanya dengan membalik polaritas tegangan pada terminal-terminal motor. Oleh karena itu, motor DC ini akan digunakan dalam desain prototype pintu geser otomatis untuk menggerakkan pintu agar membuka dan juga menutup. Gambar 2.12 Motor DC

18 Motor DC memiliki dua buah kutub. Sebuah motor DC sederhana memiliki 6 bagian, yaitu : Armature or rotor 2. Commutator 3. Brushes 4. Axle 5. Field magnet 6. DC power supply Pada gambar 2.13 terdapat dua buah magnet pada motor. Armature atau rotor adalah sebuah elektromagnet, sedangkan field magnet adalah magnet permanen. Gambar 2.13 Magnet Motor DC Sebuah motor listrik adalah tentang magnet. Motor menggunakan magnet untuk membuat gerakan. Apabila kutubnya berlawan akan saling menarik, tetapi

19 bila kutubnya sama maka akan mendorong. Hal ini akan memberikan tenaga untuk membuat suatu gerakan. 25 Gambar 2.14 Brushes dalam Motor DC Gambar 2.15 Komponen Motor DC 2.4 Sensor Suhu Tranduser merupakan alat yang menerima energi dari satu sistem dan menyalurkannya kembali dalam bentuk yang berbeda ke sistem lainnya.

20 Tranduser yang dipakai dapat pula disebut sebagai sensor. Sensor merupakan alat yang sangat sensitif terhadap temperature, cahaya, empedansi, listrik dan sebagainya. Dalam mendeteksi panas atau suhu beberapa tranduser yang digunakan diantaranya adalah Thermocouple, Resistance Temperature Detectors (RTDs), Thermistor, dan semikonduktor. Sensor suhu merupakan suatu komponen yang peka atau sangat mudah mengalami perubahan tegangan dan arus, apabila terjadi perubahan suhu pada kondisi tertentu. Pada saat ini, bahan semikonduktor juga digunakan sebagai sensor, karena salah satu sifatnya yang peka terhadap suhu. Pengindera IC LM 35 merupakan sensor suhu yang mempunyai tegangan keluaran sebanding dengan derajat celcius. IC LM 35 tidak memerlukan kalibrasi eksternal untuk menghasilkan hasil akurat sebesar ± 1 4 C pada temperatur ruangan yang bersuhu antara -55 sampai +150 C. Dan IC LM 35 juga memiliki impedansi keluaran yang rendah, linear output, dan ketepatan kalibrasi yang membuat pengontrolan sirkuit menjadi lebih mudah. Jenis sensor ini dapat dipakai dengan menggunakan single power supply atau dengan plus dan min supply Infra Merah Infra merah mempunyai bidang aplikasi yang luas, salah satunya adalah sebagai sensor gerakan (Motion Sensor). Dengan memberikan suatu sinyal pulsa pada pemancar infra merah yang dibangkitkan oleh LM 555, dimana receiver akan terus menerus secara kontinu akan menerima gelombang infra merah. Komponen yang dapat menerima infra merah merupakan komponen yang peka

21 27 cahaya berupa dioda (photodiode) ataupun transistor (phototransistor) keduanya disebut photo detector. Komponen ini mengubah energi cahaya menjadi pulsapulsa listrik. Dalam penerimaan, sinyal yang diterima adalah sinyal infra merah yang termodulasi. Komponen photodetector mempunyai karakteristik mirip komponen solar cell, yang mengubah energi cahaya menjadi energi listrik. Bila photodetector mendapat cahaya akan menghasilkan tegangan sekitar 0,5 volt, sedangkan arus yang dihasilkan tergantung dari intensitas cahaya yang masuk ke photodetector. Sinyal infra merah bekerja pada daerah spektrum elektromagnetik antara 0.78 dan 1000 µm. Dalam infra merah panjang gelombangnya diukur dengan wavenumbers, dimana satuannya adalah cm -1. Wavenumber = 1 / panjang jangkauan (cm -1 ) Sinyal infra merah dibagi dalam tiga daerah, yaitu dekat, sedang, dan jauh. Berikut ini perbandingan antara wavenumbers dengan panjang jangkauan. Kebanyakan infra merah bekerja pada daerah antara cm -1. Tabel 2.1 Perbandingan wavenumbers dengan panjang jangkauan Daerah Spektrum (µm) Panjang Jangkauan(cm -1 ) Dekat Sedang Jauh

22 Pewaktu IC LM 555 adalah sebuah alat untuk membangkitkan waktu delay atau osilasi yang sangat akurat (Gambar 2.16). Terminal tambahan diberikan untuk memicu atau mereset sesuai kebutuhan. Pada saat operasi waktu delay, waktu akan dikontrol oleh satu eksternal resitor dan kapasitor. Untuk pemakaian sebagai osilasi, frekuensi berjalan yang bebas dan siklus kerja dikontrol oleh 2 buah eksternal resistor dan satu buah kapasitor. Sirkuit dapat dipicu dan direset pada saat gelombang jatuh, dan keluaran sirkuit bisa menghasilkan sampai 200mA atau menggerakkan sirkuit TTL-nya. Gambar 2.16 Skematik Rangkaian IC LM 555

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Gambar 3.1 Diagram Blok Sistem

Gambar 3.1 Diagram Blok Sistem BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Sistem ini dirancang dengan pendekatan aplikasi pada ruangan tertutup yang umumnya memiliki pintu, lampu dan AC. Pada perancangan sistem ini, pendeteksian

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Gambar 2.1 Mikrokontroler ATMega 8535 (sumber :Mikrokontroler Belajar AVR Mulai dari Nol)

Gambar 2.1 Mikrokontroler ATMega 8535 (sumber :Mikrokontroler Belajar AVR Mulai dari Nol) BAB II TINJAUAN PUSTAKA 2.1 Mikrokontroler Mikrokontroler merupakan keseluruhan sistem komputer yang dikemas menjadi sebuah chip di mana di dalamnya sudah terdapat Mikroprosesor, I/O Pendukung, Memori

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisa Masalah Dalam perancangan sistem otomatisasi pemakaian listrik pada ruang belajar berbasis mikrokontroler terdapat beberapa masalah yang harus

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dijelaskan mengenai perancangan dari perangkat keras, serta perangkat lunak dari alat akuisisi data termokopel 8 kanal. 3.1. Gambaran Sistem Alat yang direalisasikan

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB III PERANCANGAN ALAT. Gambar 3.1 Diagram Blok Pengukur Kecepatan

BAB III PERANCANGAN ALAT. Gambar 3.1 Diagram Blok Pengukur Kecepatan BAB III PERANCANGAN ALAT 3.1 PERANCANGAN PERANGKAT KERAS Setelah mempelajari teori yang menunjang dalam pembuatan alat, maka langkah berikutnya adalah membuat suatu rancangan dengan tujuan untuk mempermudah

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan BAB 3 PERANCANGAN SISTEM Konsep dasar mengendalikan lampu dan komponen komponen yang digunakan pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan perancangan sistem

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

ANTAR MUKA DST-51 DENGAN MODUL AD-0809

ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ADC0809 ADC0809 adalah IC pengubah tegangan analog menjadi digital dengan masukan berupa 8 kanal input yang dapat dipilih. IC ADC0809 dapat melakukan proses konversi

Lebih terperinci

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar 28 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar dan Laboratorium Pemodelan Jurusan Fisika Universitas Lampung. Penelitian

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem deteksi keberhasilan software QuickMark untuk mendeteksi QRCode pada objek yang bergerak di conveyor. Garis besar pengukuran

Lebih terperinci

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Brilliant Adhi Prabowo Pusat Penelitian Informatika, LIPI brilliant@informatika.lipi.go.id Abstrak Motor dc lebih sering digunakan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Didalam merancang sistem yang akan dibuat ada beberapa hal yang perlu diperhatikan sebelumnya, pertama-tama mengetahui prinsip kerja secara umum dari sistem yang akan dibuat

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI PLC (Programable Logic Control) adalah kontroler yang dapat diprogram. PLC didesian sebagai alat kontrol dengan banyak jalur input dan output. Pengontrolan dengan menggunakan PLC

Lebih terperinci

BAB III ANALISA DAN CARA KERJA RANGKAIAN

BAB III ANALISA DAN CARA KERJA RANGKAIAN BAB III ANALISA DAN CARA KERJA RANGKAIAN 3.1 Analisa Rangkaian Secara Blok Diagram Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

BAB II TINJAUAN PUSTAKA. 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan

BAB II TINJAUAN PUSTAKA. 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan 4 BAB II TINJAUAN PUSTAKA 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan dioda biasa, komponen elektronika ini akan mengubah cahaya menjadi arus listrik. Cahaya

Lebih terperinci

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 21 BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 3.1 Gambaran umum Perancangan sistem pada Odometer digital terbagi dua yaitu perancangan perangkat keras (hardware) dan perangkat lunak (software). Perancangan

Lebih terperinci

Pendahuluan. 1. Timer (IC NE 555)

Pendahuluan. 1. Timer (IC NE 555) Pada laporan ini akan menyajikan bagaimana efisien sebuah power supply untuk LED. Dengan menggunakan rangkaian buck converter diharapkan dapat memberikan tegangan dan arus pada beban akan menjadi stabil,

Lebih terperinci

BAB III DESKRIPSI MASALAH

BAB III DESKRIPSI MASALAH BAB III DESKRIPSI MASALAH 3.1 Perancangan Hardware Perancangan hardware ini meliputi keseluruhan perancangan, artinya dari masukan sampai keluaran dengan menghasilkan energi panas. Dibawah ini adalah diagram

Lebih terperinci

PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3

PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3 PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3 Friendly 1 * 1 Program Studi Teknik Komputer dan Informatika Politeknik Negeri Medan Medan Indonesia Telp: 081370203112

Lebih terperinci

BAB III PERANCANGAN. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan

BAB III PERANCANGAN. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan 41 BAB III PERANCANGAN Pada bab ini akan menjelaskan perancangan alat yang akan penulis buat. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan perancangan rangkaian elektronik,

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

Perkembangan Mikroprosesor

Perkembangan Mikroprosesor Perkembangan Mikroprosesor Setiap komputer yang kita gunakan didalamnya pasti terdapat mikroprosesor. Mikroprosesor, dikenal juga dengan sebutan Central Processing Unit (CPU) artinya unit pengolahan pusat.

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK ADC-DAC A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui prinsip kerja ADC dan DAC.. Mengetahui toleransi kesalahan ADC dan ketelitian DAC.. Memahami

Lebih terperinci

$'&$QDORJWR'LJLWDO&RQYHUWLRQ

$'&$QDORJWR'LJLWDO&RQYHUWLRQ $'&$QDORJWR'LJLWDO&RQYHUWLRQ KONVERTER Alat bantu digital yang paling penting untuk teknologi kontrol proses adalah yang menerjemahkan informasi digital ke bentuk analog dan juga sebaliknya. Sebagian besar

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas dasar teori yang berhubungan dengan perancangan skripsi antara lain fungsi dari function generator, osilator, MAX038, rangkaian operasional amplifier, Mikrokontroler

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

BAB III DESAIN DAN IMPLEMENTASI

BAB III DESAIN DAN IMPLEMENTASI BAB III DESAIN DAN IMPLEMENTASI 3.1 Pendahuluan Pada tugas akhir ini akan membahas tentang pengisian batere dengan metode constant current constant voltage. Pada implementasinya mengunakan rangkaian konverter

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Diagram blok sistem secara umum Pada sub bab ini dibahas tentang uraian keseluruhan dari diagram blok sistem. Diagram blok sistem ini diperlihatkan pada gambar 3.1. Sensor

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

BAB III METODOLOGI PENELITIAN

BAB III METODOLOGI PENELITIAN BAB III METODOLOGI PENELITIAN 3.1 Proses alur penelitian Dalam penelitian ini ada beberapa tahap atau langkah-langkah yang peneliti lakukan mulai dari proses perancangan model hingga hasil akhir dalam

Lebih terperinci

MICROCONTROLER AVR AT MEGA 8535

MICROCONTROLER AVR AT MEGA 8535 MICROCONTROLER AVR AT MEGA 8535 Dwisnanto Putro, S.T., M.Eng. MIKROKONTROLER AVR Jenis Mikrokontroler AVR dan spesifikasinya Flash adalah suatu jenis Read Only Memory yang biasanya diisi dengan program

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

BAB 2 LANDASAN TEORI. Mikrokontroler AT89S51 hanya memerlukan tambahan 3 kapasitor, 1 resistor dan 1

BAB 2 LANDASAN TEORI. Mikrokontroler AT89S51 hanya memerlukan tambahan 3 kapasitor, 1 resistor dan 1 BAB 2 LANDASAN TEORI 2.1 Defenisi AT89S51 Mikrokontroler AT89S51 hanya memerlukan tambahan 3 kapasitor, 1 resistor dan 1 kristal serta catu daya 5 Volt. Kapasitor 10 mikro-farad dan resistor 10 Kilo Ohm

Lebih terperinci

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem BAB III PERANCANGAN 3.1 Prnsip Kerja Sistem Sistem yang akan dibangun, secara garis besar terdiri dari sub-sub sistem yang dikelompokan ke dalam blok-blok seperti terlihat pada blok diagram pada gambar

Lebih terperinci

BAB II DASAR TEORI. Arduino adalah pengendali mikro single-board yang bersifat opensource,

BAB II DASAR TEORI. Arduino adalah pengendali mikro single-board yang bersifat opensource, BAB II DASAR TEORI 2.1 ARDUINO Arduino adalah pengendali mikro single-board yang bersifat opensource, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai bidang.

Lebih terperinci

BAB IV PERANCANGAN SISTEM 36 BAB IV PERANCANGAN SISTEM. 4.1 Pembangunan Basis Pengetahuan dan Aturan

BAB IV PERANCANGAN SISTEM 36 BAB IV PERANCANGAN SISTEM. 4.1 Pembangunan Basis Pengetahuan dan Aturan BAB IV PERANCANGAN SISTEM 36 BAB IV PERANCANGAN SISTEM 4.1 Pembangunan Basis Pengetahuan dan Aturan 4.1.1 Basis Pengetahuan Seperti telah dijelaskan sebelumnya bahwa pengetahuan adalah hal yang paling

Lebih terperinci

BAB III PERANCANGAN Bahan dan Peralatan

BAB III PERANCANGAN Bahan dan Peralatan BAB III PERANCANGAN 3.1 Pendahuluan Perancangan merupakan tahapan terpenting dari pelaksanaan penelitian ini. Pada tahap perancangan harus memahami sifat-sifat, karakteristik, spesifikasi dari komponen-komponen

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

Bab 3 PLC s Hardware

Bab 3 PLC s Hardware Bab 3 PLC s Hardware Sasaran Mahasiswa mampu : o Memahami definisi PLC o Menyebutkan jenis jenis PLC o Menyebutkan bagian bagian hardware PLC o Menjelaskan prinsip kerja bagian bagian hardware PLC 3.1

Lebih terperinci

BAB II LANDASAN TEORI. Dalam merancang sebuah peralatan yang cerdas, diperlukan suatu

BAB II LANDASAN TEORI. Dalam merancang sebuah peralatan yang cerdas, diperlukan suatu BAB II LANDASAN TEORI 2.1. Perangkat Keras Dalam merancang sebuah peralatan yang cerdas, diperlukan suatu perangkat keras (hardware) yang dapat mengolah data, menghitung, mengingat dan mengambil pilihan.

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 1.1. Metode Penelitian Metode penelitian yang digunakan pada rancang bangun pengukur kecepatan kendaraan menggunakan sensor GMR adalah metode deskriftif dan eksperimen. Melalui

Lebih terperinci

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin BAB III PERANCANGAN ALAT 3.1 Perancangan Dalam pembuatan suatu alat diperlikan adanya sebuah rancangan yang menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin timbul dapat ditekan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM Perancangan sistem pada timbangan digital sebagai penentuan pengangkatan beban oleh lengan robot berbasiskan sensor tekanan (Strain Gauge) dibagi menjadi dua bagian yaitu perancangan

Lebih terperinci

BAB III PERANCANGAN SISTEM. perancangan mekanik alat dan modul elektronik sedangkan perancangan perangkat

BAB III PERANCANGAN SISTEM. perancangan mekanik alat dan modul elektronik sedangkan perancangan perangkat BAB III PERANCANGAN SISTEM 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras (hardware) dan perangkat lunak ( Software). Pembahasan perangkat keras meliputi perancangan mekanik

Lebih terperinci

BAB 2 TINJAUAN TEORITIS. Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya

BAB 2 TINJAUAN TEORITIS. Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya 10 BAB 2 TINJAUAN TEORITIS 2.1 Sensor TGS 2610 2.1.1 Gambaran umum Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya kebocoran gas. Sensor ini merupakan suatu semikonduktor oksida-logam,

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

BAB II DASAR TEORI. Pada bab ini akan dibahas teori-teori pendukung yang digunakan sebagai acuan dalam merancang algoritma.

BAB II DASAR TEORI. Pada bab ini akan dibahas teori-teori pendukung yang digunakan sebagai acuan dalam merancang algoritma. BAB II DASAR TEORI Pada bab ini akan dibahas teori-teori pendukung yang digunakan sebagai acuan dalam merancang algoritma. 2.1. Mikrokontroler ATMega 128 Mikrokontroler merupakan sebuah sistem komputer

Lebih terperinci

Bab IV PENGOLAHAN DATA DAN ANALISA

Bab IV PENGOLAHAN DATA DAN ANALISA 51 Bab IV PENGOLAHAN DATA DAN ANALISA Dalam perancangan perangkat keras dan perangkat lunak suatu sistem yang telah dibuat ini dimungkinkan terjadi kesalahan karena faktor-faktor seperti human error, proses

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

JOBSHEET SENSOR ULTRASONIC

JOBSHEET SENSOR ULTRASONIC JOBSHEET SENSOR ULTRASONIC A. TUJUAN 1) Mempelajari prinsip kerja dari ultrasonic ranging module HC-SR04. 2) Menguji ultrasonic ranging module HC-SR04 terhadap besaran fisis. 3) Menganalisis susunan rangkaian

Lebih terperinci

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 III. METODOLOGI PENELITIAN A. Waktu dan Tempat Penelitian Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

ADC (Analog to Digital Converter)

ADC (Analog to Digital Converter) ADC (Analog to Digital Converter) Analog to Digital Converter (ADC) adalah sebuah piranti yang dirancang untuk mengubah sinyal-sinyal analog menjadi sinyal sinyal digital. IC ADC 0804 dianggap dapat memenuhi

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

BAB III PERANCANGAN PERANGKAT KERAS DAN LUNAK

BAB III PERANCANGAN PERANGKAT KERAS DAN LUNAK 22 BAB III PERANCANGAN PERANGKAT KERAS DAN LUNAK 3.1. Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras (hardware) dan perangkat lunak (software). Pembahasan perangkat keras

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT 39 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik Eskalator. Sedangkan untuk pembuatan

Lebih terperinci

BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS. Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk

BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS. Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS 3.1. Pendahuluan Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk menghidupkan HPL (High Power LED) dengan watt

Lebih terperinci

melibatkan mesin atau perangkat elektronik, sehingga pekerjaan manusia dapat dikerjakan dengan mudah tanpa harus membuang tenaga dan mempersingkat wak

melibatkan mesin atau perangkat elektronik, sehingga pekerjaan manusia dapat dikerjakan dengan mudah tanpa harus membuang tenaga dan mempersingkat wak PINTU GERBANG OTOMATIS DENGAN REMOTE CONTROL BERBASIS MIKROKONTROLER ATMEGA8535 Robby Nurmansyah Jurusan Sistem Komputer, Universitas Gunadarma Kalimalang Bekasi Email: robby_taal@yahoo.co.id ABSTRAK Berkembangnya

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PEANCANGAN DAN PEMBUATAN ALAT 3.1. Pendahuluan Dalam Bab ini akan dibahas pembuatan seluruh sistem perangkat yang ada pada Perancangan Dan Pembuatan Alat Aplikasi pengendalian motor DC menggunakan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. MOSFET MOSFET atau Metal Oxyde Semiconductor Field Effect Transistor merupakan salah satu jenis transistor efek medan (FET). MOSFET memiliki tiga pin yaitu gerbang (gate), penguras

Lebih terperinci

THERMOMETER DIGITAL DENGAN MODUL DST-51, ADC-0809 DAN LCD 2X16

THERMOMETER DIGITAL DENGAN MODUL DST-51, ADC-0809 DAN LCD 2X16 THERMOMETER DIGITAL DENGAN MODUL DST-51, ADC-0809 DAN LCD 2X16 LCD 2x16 Modul DST-51 Modul ADC-0809 Amplifier LM35 Gambar 1 Blok Diagram Sistem Aplikasi thermometer digital dilakukan dengan melakukan konversi

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA 2.1. Sensor Fotodioda Sensor photodioda merupakan sensor dioda yang peka terhadap cahaya, sensor photodioda dapat bekerja dengan menggunakan perubahan cahaya yang ada dan mengalami

Lebih terperinci

Bab 2. Landasan Teori

Bab 2. Landasan Teori 6 Bab 2 Landasan Teori 2.1 Sistem Kontrol Kata kontrol atau pengendalian mempunyai arti mengatur, mengarahkan dan memerintah. Dengan kata lain bahwa sistem pengendalian adalah susunan komponen - komponen

Lebih terperinci

Gambar 3.1 Susunan perangkat keras sistem steel ball magnetic levitation

Gambar 3.1 Susunan perangkat keras sistem steel ball magnetic levitation Bab III Perancangan Perangkat Keras Sistem Steel Ball Magnetic Levitation Dalam perancangan perangkat keras sistem Steel Ball Magnetic Levitation ini dibutuhkan pengetahuan dasar tentang elektromagnetik,

Lebih terperinci

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON Oleh : Agus Mulyana 23207025 MAGISTER TEKNIK ELEKTRO SEKOLAH TINGGI ELEKTRO DAN INFORMATIKA INSTITUT TEKNOLOGI

Lebih terperinci

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian dan perancangan tugas akhir dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 sampai dengan

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Pada bab ini akan dijelaskan langkah-langkah yang akan digunakan dalam menyelesaikan perangkat keras (hardware) yang berupa komponen fisik penunjang seperti IC AT89S52 dan perangkat

Lebih terperinci

PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA. Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar.

PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA. Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar. PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar Abstrak Penerapan teknologi otomatis dengan menggunakan sistem

Lebih terperinci

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 Ringkasan Pendahuluan Mikrokontroler Mikrokontroler = µp + Memori (RAM & ROM) + I/O Port + Programmable IC Mikrokontroler digunakan sebagai komponen pengendali

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. Motor DC dan Motor Servo 2.1.1. Motor DC Motor DC berfungsi mengubah tenaga listrik menjadi tenaga gerak (mekanik). Berdasarkan hukum Lorenz bahwa jika suatu kawat listrik diberi

Lebih terperinci

BAB III ANALISA MASALAH DAN PERANCANGAN

BAB III ANALISA MASALAH DAN PERANCANGAN BAB III ANALISA MASALAH DAN PERANCANGAN III.1. Analisa Sub bab ini berisikan tentang analisa sistem yang akan dibangun. Sub bab ini membahas teknik pemecahan masalah yang menguraikan sebuah sistem menjadi

Lebih terperinci

Gambar 3. 1 Diagram blok system digital

Gambar 3. 1 Diagram blok system digital 3.1 Introduction Kebanyakan informasi yang ada di dunia nyata adalah besaran analog. Contohnya tegangan, arus listrik, massa, tekanan, suhu, intensitas cahaya dan lain sebagainya. Namun pada era masa kini

Lebih terperinci

PERCOBAAN 3a MULTIVIBRATOR

PERCOBAAN 3a MULTIVIBRATOR PERCOBAAN 3a MULTIVIBRATOR 3.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menjelaskan prinsip kerja rangkaian multivibrator sebagai pembangkit clock Membedakan rangkaian

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Perancangan merupakan proses yang kita lakukan terhadap alat, mulai dari rancangan kerja rangkaian hingga hasil jadi yang akan difungsikan. Perancangan dan pembuatan alat merupakan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas mengenai teori-teori dasar yang digunakan untuk pembuatan pintu gerbang otomatis berbasis Arduino yang dapat dikontrol melalui komunikasi Transifer dan Receiver

Lebih terperinci