PROGRAM STUDI MATEMATIKA UNIVERSITAS NEGERI YOGYAKARTA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM

Ukuran: px
Mulai penontonan dengan halaman:

Download "PROGRAM STUDI MATEMATIKA UNIVERSITAS NEGERI YOGYAKARTA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM"

Transkripsi

1 LAMPIRAN 74

2 75

3 76

4 PROGRAM STUDI MATEMATIKA UNIVERSITAS NEGERI YOGYAKARTA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM Jalan Colombo Nomor 1 Yogyakarta Telepon (0274) Pesawat 217, (0274) (TU), fax. (0274) Laman : fmipa.uny.ac.id, humas_fmipa@uny.ac.id PENJELASAN PENELITIAN KEPADA RESPONDEN Saya Danu Satria mahasiswa Program Studi Matematika Fakultas Matematika dan Ilmu Pengetahuan Alam Universitas Negeri Yogyakarta. Saat ini saya sedang melakukan penelitian dengan judul: KLASIFIKASI PENYAKIT JANTUNG MENGGUNAKAN WAVELET FUZZY SYSTEMS Penelitian bertujuan untuk mengetahui jenis penyakit jantung berdasarkan data rekam detak jantung pasien penyakit jantung dengan phonocardiogram (PCG). Peneliti mengajak bapak/ibu/saudara untuk ikut serta dalam penelitian ini. Pasien yang telah memenuhi kriteria inklusi dan eksklusi akan diminta untuk merekam detak jantungnya dengan alat phonocardiogram yang telah disediakan oleh peneliti. A. Kesukarelaan dalam penelitian Calon responden berhak memilih untuk berpartisipasi sebagai responden dalam penelitian ini tanpa ada unsur paksaan. Bila calon responden sudah memutuskan untuk ikut berpartisipasi lalu berubah pikiran, maka calon responden bebas untuk mengundurkan diri tanpa ada denda ataupun sanksi. Apabila calon responden telah bersedia untuk berpartisipasi dalam penelitian ini, maka calon responden akan diminta untuk menandatangani lembar persetujuan sebanyak satu rangkap untuk disimpan oleh peneliti sebagai bukti. 77

5 B. Prosedur Penelitian Calon responden yang bersedia untuk berpartisipasi dalam penelitian ini akan diminta untuk menandatangai lembar persetujuan. Calon responden sebelumnya telah didiagnosa menderita kelainan jantung oleh dokter akan diminta untuk meletakkan kepala stetoskop dari alat phonocardiogram yang dibawa peneliti dibagian dada yang detakannya kuat, kemudian akan direkam detakan jantungnya dengan laptop (program : Sound Forge Pro 10), detak jantung responden akan direkam sebanyak dua kali, yaitu pertama responden bernafas secara biasa dan yang kedua responden diminta untuk menahan nafas selama 5-7 detik. C. Kewajiban Partisipan Penelitian Sebagai partisipan dalam penelitian ini responden berkewajiban mengikuti aturan atau petunjuk penelitian sesuai dengan yang telah disebutkan diatas. Bila ada yang belum jelas, responden dapat bertanya langsung dengan peneliti. D. Resiko Efek Samping dan Ketidaknyamanan Penelitian ini tidak memiliki resiko yang berbahaya. Peneliti akan berusaha meminimalisir segala bentuk ketidaknyamanan atau efek samping yang merugikan penelitian. Apabila selama penelitian atau setelah penelitian ini berlangsung terdapat kecurangan atau ketimpangan yang dirasakan oleh responden, maka peneliti siap bertanggung jawab akan hal tersebut. E. Manfaat dan Keuntungan Manfaat atau keuntungan yang responden dapatkan yaitu berupa informasi mengenai jenis kelainan jantung berdasarkan rekaman detak jantungnya. F. Kerahasiaan Semua informasi yang berkaitan dengan identitas subjek penelitian akan dirahasiakan dan hanya akan diketahui oleh peneliti. Hasil penelitian akan dipublikasikan tanpa identitas subjek penelitian. 78

6 G. Informasi dan Tambahan Responden dapat menanyakan atau mengkonfirmasi hal-hal yang berhubungan tentang penelitian ini dengan menghubungi peneliti sendiri atas nama Danu Satria pada No. Hp Selain itu, informasi tentang penelitian ini juga diperoleh di Fakultas Matematika dan Ilmu Pengetahuan Alam Universitas Negeri Yogyakarta. Telp : (0274) , atau humas_fmipa@uny.ac.id. 79

7 80

8 Lampiran 5 Sinyal PCG Asli Data Latih 1. Diagnosis Normal N1 wav N2.wav N3 wav N4.wav N5.wav N6.wav N7 wav N8.wav N9.wav N10.wav N11.wav N12.wav N13.wav n14.wav N15.wav N16.wav N17.wav N18.wav 81

9 N19.wav N20.wav 2. Diagnosis HHD wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 82

10 wav wav 3. Diagnosis CHF wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 83

11 wav wav wav wav 4. Diagnosis wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 84

12 wav wav wav wav Sinyal PCG Asli Data Uji 1. Diagnosis Normal N21.wav N22.wav N23.wav N24.wav N25.wav 2. Diagnosis HHD wav wav wav wav wav 85

13 3. Diagnosis CHF wav wav wav wav wav 4. Diagnosis wav wav wav wav wav 86

14 Lampiran 6 Signal Hasil Normalisasi Data Latih 1. Diagnosis Normal N1 wav N2.wav N3.wav N4.wav N5.wav N6.wav N7.wav n8.wav N9.wav n10.wav N11.wav n12.wav N13.wav N14.wav N15.wav n16.wav N17.wav n18.wav 87

15 N19.wav n20.wav 2. Diagnosis HHD wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 88

16 wav wav 3. Diagnosis CHF wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 89

17 wav wav wav wav 4. Diagnosis wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 90

18 wav wav wav wav Sinyal Hasil Normalisasi Data Uji 1. Diagnosis Normal N21.wav N22.wav N23.wav N24.wav N25.wav 2. Diagnosis HHD wav wav wav wav wav 91

19 3. Diagnosis CHF wav wav wav wav wav 4. Diagnosis wav wav wav wav wav 92

20 Lampiran 7 Signal Hasil Pemotongan Data Latih 1. Diagnosis Normal N1.wav N2.wav N3.wav N4.wav N5.wav N6.wav N7.wav N8.wav N9.wav N10.wav N11.wav N12.wav N13.wav N14.wav N15.wav N16.wav 93

21 N17.wav N18.wav N19.wav N20.wav 2. Diagnosis HHD wav wav wav wav wav wav wav wav wav wav wav wav wav wav 94

22 wav wav wav wav wav wav 3. Diagnosis CHF wav wav wav wav wav wav wav wav wav wav wav wav wav wav 95

23 wav wav wav wav wav wav 4. Diagnosis wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 96

24 wav wav wav wav Signal Hasil Pemotongan Data Uji 1. Diagnosis Normal N21.wav N22.wav N23.wav N24.wav N25.wav 2. Diagnosis HHD wav wav wav wav wav 97

25 3. Diagnosis CHF wav wav wav wav wav 4. Diagnosis wav wav wav wav wav 98

26 Lampiran 8 Signal Hasil Dekomposisi Data Latih 1. Diagnosis Normal N1.wav N2.wav N3.wav - N4.wav N5.wav N6.wav N7.wav N8.wav N9.wav N10.wav N11.wav N12.wav N13.wav N14.wav N15.wav N16.wav 99

27 N17.wav N18.wav N19.wav N20.wav 2. Diagnosis HHD wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 100

28 wav wav wav wav 3. Diagnosis CHF wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 101

29 wav wav 4. Diagnosis wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav wav 102

30 wav wav Signal Hasil Dekomposisi Data Uji 1. Diagnosis Normal N21.wav N22.wav N23.wav N24.wav N25.wav 2. Diagnosis HHD wav wav wav wav 3. Diagnosis CHF wav wav wav 103

31 wav wav wav 4. Diagnosis wav wav wav wav 104

32 Lampiran 9 Ekstraksi Signal PCG Data Latih No Nama File Minimum Maksimum Rata-rata Standar Deviasi Energi 1 N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E N E E E E E E E E E E E E

33 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E

34 E E E E E E E E E E E E E Ekstraksi Signal PCG Data Uji No Nama File Minimum Maksimum Rata-rata Standar Deviasi Energi 1 N E N E N E N E N E E E E E E E E E E E E E E E E

35 Lampiran 10 Aturan Fuzzy Aturan 1 : Jika min adalah min7 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka normal. Aturan 2 : Jika min adalah min5 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka normal. Aturan 3 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka normal. Aturan 4 : Jika min adalah min4 dan max adalah max6 dan mean adalah mean5 dan std adalah std3 dan en adalah en7 maka normal. Aturan 5 : Jika min adalah min5 dan max adalah max6 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka normal. Aturan 6 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en7 maka normal. Aturan 7 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en5 maka normal. Aturan 8 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en7 maka normal. Aturan 9 : Jika min adalah min5 dan max adalah max5 dan mean adalah mean dan std adalah std3 dan en adalah en7 maka normal. Aturan 10 : Jika min adalah min6 dan max adalah max3 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka normal. Aturan 11 : Jika min adalah min7 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka normal. 108

36 Aturan 12 : Jika min adalah min5 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en7 maka normal. Aturan 13 : Jika min adalah min4 dan max adalah max3 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka normal. Aturan 14 : Jika min adalah min4 dan max adalah max5 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka normal. Aturan 15 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en5 maka normal. Aturan 16 : Jika min adalah min5 dan max adalah max5 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka normal. Aturan 17 : Jika min adalah min6 dan max adalah max3 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka normal. Aturan 18 : Jika min adalah min5 dan max adalah max5 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka normal. Aturan 19 : Jika min adalah min5 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka normal. Aturan 20 : Jika min adalah min6 dan max adalah max6 dan mean adalah mean5 dan std adalah std3 dan en adalah en3 maka normal. Aturan 21 : Jika min adalah min3 dan max adalah max6 dan mean adalah mean5 dan std adalah std6 dan en adalah en9 maka HHD. Aturan 22 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean6 dan std adalah std5 dan en adalah en4 maka HHD. Aturan 23 : Jika min adalah min6 dan max adalah max6 dan mean adalah mean5 109

37 dan std adalah std5 dan en adalah en4 maka HHD. Aturan 24 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en4 maka HHD. Aturan 25 : Jika min adalah min7 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en5 maka HHD. Aturan 26 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en4 maka HHD. Aturan 27 : Jika min adalah min8 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en2 maka HHD. Aturan 28 : Jika min adalah min3 dan max adalah max8 dan mean adalah mean3 dan std adalah std6 dan en adalah en4 maka HHD. Aturan 29 : Jika min adalah min8 dan max adalah max3 dan mean adalah mean5 dan std adalah std4 dan en adalah en6 maka HHD. Aturan 30 : Jika min adalah min6 dan max adalah max5 dan mean adalah mean5 dan std adalah std5 dan en adalah en3 maka HHD. Aturan 31 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en7 maka HHD. Aturan 32 : Jika min adalah min7 dan max adalah max4 dan mean adalah mean6 dan std adalah std5 dan en adalah en3 maka HHD. Aturan 33 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en2 maka HHD. Aturan 34 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean6 dan std adalah std4 dan en adalah en4 maka HHD. 110

38 Aturan 35 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en2 maka HHD. Aturan 36 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std4 dan en adalah en5 maka HHD. Aturan 37 : Jika min adalah min5 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka HHD. Aturan 38 : Jika min adalah min9 dan max adalah max1 dan mean adalah mean5 dan std adalah std1 dan en adalah en2 maka HHD. Aturan 39 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en5 maka HHD. Aturan 40 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka HHD. Aturan 41 : Jika min adalah min4 dan max adalah max6 dan mean adalah mean5 dan std adalah std4 dan en adalah en4 maka CHF. Aturan 42 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std3 dan en adalah en2 maka CHF. Aturan 43 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std3 dan en adalah en2 maka CHF. Aturan 44 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en4 maka CHF. Aturan 45 : Jika min adalah min7 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka CHF. Aturan 46 : Jika min adalah min9 dan max adalah max1 dan mean adalah mean5 111

39 dan std adalah std1 dan en adalah en6 maka CHF. Aturan 47 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en3 maka CHF. Aturan 48 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en8 maka CHF. Aturan 49 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std4 dan en adalah en7 maka CHF. Aturan 50 : Jika min adalah min5 dan max adalah max3 dan mean adalah mean5 dan std adalah std5 dan en adalah en3 maka CHF. Aturan 51 : Jika min adalah min1 dan max adalah max6 dan mean adalah mean6 dan std adalah std7 dan en adalah en6 maka CHF. Aturan 52 : Jika min adalah min4 dan max adalah max6 dan mean adalah mean5 dan std adalah std2 dan en adalah en3 maka CHF. Aturan 53 : Jika min adalah min5 dan max adalah max6 dan mean adalah mean2 dan std adalah std9 dan en adalah en5 maka CHF. Aturan 54 : Jika min adalah min5 dan max adalah max5 dan mean adalah mean5 dan std adalah std8 dan en adalah en4 maka CHF. Aturan 55 : Jika min adalah min6 dan max adalah max3 dan mean adalah mean5 dan std adalah std5 dan en adalah en5 maka CHF. Aturan 56 : Jika min adalah min6 dan max adalah max5 dan mean adalah mean4 dan std adalah std6 dan en adalah en2 maka CHF. Aturan 57 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std4 dan en adalah en5 maka CHF. 112

40 Aturan 58 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean5 dan std adalah std2 dan en adalah en9 maka CHF. Aturan 59 : Jika min adalah min5 dan max adalah max4 dan mean adalah mean1 dan std adalah std8 dan en adalah en4 maka CHF. Aturan 60 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std3 dan en adalah en2 maka CHF. Aturan 61 : Jika min adalah min2 dan max adalah max6 dan mean adalah mean5 dan std adalah std9 dan en adalah en5 maka. Aturan 62 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std1 dan en adalah en7 maka. Aturan 63 : Jika min adalah min8 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en7 maka. Aturan 64 : Jika min adalah min9 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en2 maka. Aturan 65 : Jika min adalah min6 dan max adalah max4 dan mean adalah mean9 dan std adalah std6 dan en adalah en3 maka. Aturan 66 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka. Aturan 67 : Jika min adalah min4 dan max adalah max6 dan mean adalah mean5 dan std adalah std8 dan en adalah en4 maka. Aturan 68 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std3 dan en adalah en2 maka. Aturan 69 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 113

41 dan std adalah std4 dan en adalah en4 maka. Aturan 70 : Jika min adalah min8 dan max adalah max3 dan mean adalah mean6 dan std adalah std4 dan en adalah en5 maka. Aturan 71 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std5 dan en adalah en3 maka. Aturan 72 : Jika min adalah min7 dan max adalah max4 dan mean adalah mean5 dan std adalah std3 dan en adalah en5 maka. Aturan 73 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka. Aturan 74 : Jika min adalah min1 dan max adalah max9 dan mean adalah mean5 dan std adalah std5 dan en adalah en4 maka. Aturan 75 : Jika min adalah min7 dan max adalah max5 dan mean adalah mean4 dan std adalah std5 dan en adalah en4 maka. Aturan 76 : Jika min adalah min5 dan max adalah max5 dan mean adalah mean5 dan std adalah std6 dan en adalah en5 maka. Aturan 77 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std4 dan en adalah en4 maka. Aturan 78 : Jika min adalah min9 dan max adalah max1 dan mean adalah mean5 dan std adalah std1 dan en adalah en3 maka. Aturan 79 : Jika min adalah min7 dan max adalah max3 dan mean adalah mean5 dan std adalah std2 dan en adalah en6 maka. Aturan 80 : Jika min adalah min8 dan max adalah max2 dan mean adalah mean5 dan std adalah std2 dan en adalah en5 maka. 114

42 Lampiran 11 Hasil Deffuzifikasi Data Latih 1. Diagnosis Normal No File Hasil Diagnosa Asli Diagnosa Model Performance Measure 1 N Normal Normal TN 2 N Normal Normal TN 3 N Normal Normal TN 4 N Normal Normal TN 5 N Normal Normal TN 6 N Normal Normal TN 7 N Normal Normal TN 8 N Normal Normal TN 9 N Normal Normal TN 10 N Normal Normal TN 11 N Normal Normal TN 12 N Normal Normal TN 13 N Normal Normal TN 14 N Normal Normal TN 15 N Normal Normal TN 16 N Normal Normal TN 17 N Normal Normal TN 18 N Normal Normal TN 19 N Normal Normal TN 20 N Normal Normal TN 2. Diagnosis HHD No File Hasil Diagnosa Asli Diagnosa Model Performance Measure HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP 115

43 HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD HHD TP HHD CHF TP 3. Diagnosis CHF No File Hasil Diagnosa Asli Diagnosa Model Performance Measure CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP CHF CHF TP 116

44 4. Diagnosis No File Hasil Diagnosa Asli Diagnosa Model Performance Measure TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP TP 117

45 Lampiran 12 Hasil Deffuzifikasi Data Uji No Nama File Hasil Diagnosa Asli Diagnosa Model Keterangan 1 N Normal Normal Benar 2 N Normal Normal Benar 3 N Normal Normal Benar 4 N Normal Normal Benar 5 N Normal Normal Benar HHD HHD Benar HHD HHD Benar HHD HHD Benar HHD HHD Benar HHD Normal Salah CHF CHF Benar CHF CHF Benar CHF CHF Benar CHF CHF Benar CHF Normal Salah Benar Benar Benar HHD Salah HHD Salah 118

46 Lampiran 13 Script M-file GUI function varargout = coba(varargin) % COBA M-file for coba.fig % COBA, by itself, creates a new COBA or raises the existing % singleton*. % % H = COBA returns the handle to a new COBA or the handle to % the existing singleton*. % % COBA('CALLBACK',hObject,eventData,handles,...) calls the local % function named CALLBACK in COBA.M with the given input arguments. % % COBA('Property','Value',...) creates a new COBA or raises the % existing singleton*. Starting from the left, property value pairs are % applied to the GUI before coba_openingfcn gets called. An % unrecognized property name or invalid value makes property application % stop. All inputs are passed to coba_openingfcn via varargin. % % *See GUI Options on GUIDE's Tools menu. Choose "GUI allows only one % instance to run (singleton)". % % See also: GUIDE, GUIDATA, GUIHANDLES % Edit the above text to modify the response to help coba % Last Modified by GUIDE v May :42:29 % Begin initialization code - DO NOT EDIT gui_singleton = 1; gui_state = struct('gui_name', mfilename,... 'gui_singleton', gui_singleton,... 'gui_layoutfcn', [],... 'gui_callback', []); if nargin && ischar(varargin{1}) gui_state.gui_callback = str2func(varargin{1}); end if nargout [varargout{1:nargout}] = gui_mainfcn(gui_state, varargin{:}); else gui_mainfcn(gui_state, varargin{:}); end % End initialization code - DO NOT EDIT 119

47 % --- Executes just before coba is made visible. function coba_openingfcn(hobject, eventdata, handles, varargin) % This function has no output args, see OutputFcn. % hobject handle to figure % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % varargin command line arguments to coba (see VARARGIN) % Choose default command line output for coba handles.output = hobject; % Update handles structure guidata(hobject, handles); % UIWAIT makes coba wait for user response (see UIRESUME) % uiwait(handles.figure1); % --- Outputs from this function are returned to the command line. function varargout = coba_outputfcn(hobject, eventdata, handles) % varargout cell array for returning output args (see VARARGOUT); % hobject handle to figure % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Get default command line output from handles structure varargout{1} = handles.output; % --- Executes on button press in pushbutton3. function pushbutton3_callback(hobject, eventdata, handles) % hobject handle to pushbutton3 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) [FileName,PathName] = uigetfile({'*.wav'},'file selector'); if isempty(filename) return end global I; Filedata=[PathName FileName]; I=wavread(Filedata); axes(handles.axes1); cla; [c,l]=wavedec(i,12,'haar'); [ea,ed]=wenergy(c,l); maksi=max(ed); set(handles.edit5,'string',maksi) plot(i) 120

48 % --- Executes on button press in pushbutton4. function pushbutton4_callback(hobject, eventdata, handles) % hobject handle to pushbutton4 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) [FileName,PathName] = uigetfile({'*.wav'},'file selector'); if isempty(filename) return end global I2; Filedata=[PathName FileName]; I2=wavread(Filedata); axes(handles.axes2); cla; plot(i2) % --- Executes on button press in pushbutton5. function pushbutton5_callback(hobject, eventdata, handles) % hobject handle to pushbutton5 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) global I2; minimum=min(i2); maksimum=max(i2); rata=mean(i2); stdev=std(i2); set(handles.edit1,'string',minimum) set(handles.edit2,'string',maksimum) set(handles.edit3,'string',rata) set(handles.edit4,'string',stdev) % --- Executes on button press in pushbutton6. function pushbutton6_callback(hobject, eventdata, handles) % hobject handle to pushbutton6 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) function edit1_callback(hobject, eventdata, handles) % hobject handle to edit1 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit1 as text % str2double(get(hobject,'string')) returns contents of edit1 as a double 121

49 % --- Executes during object creation, after setting all properties. function edit1_createfcn(hobject, eventdata, handles) % hobject handle to edit1 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end function edit2_callback(hobject, eventdata, handles) % hobject handle to edit2 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit2 as text % str2double(get(hobject,'string')) returns contents of edit2 as a double % --- Executes during object creation, after setting all properties. function edit2_createfcn(hobject, eventdata, handles) % hobject handle to edit2 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end function edit3_callback(hobject, eventdata, handles) % hobject handle to edit3 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit3 as text 122

50 % str2double(get(hobject,'string')) returns contents of edit3 as a double % --- Executes during object creation, after setting all properties. function edit3_createfcn(hobject, eventdata, handles) % hobject handle to edit3 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end function edit4_callback(hobject, eventdata, handles) % hobject handle to edit4 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit4 as text % str2double(get(hobject,'string')) returns contents of edit4 as a double % --- Executes during object creation, after setting all properties. function edit4_createfcn(hobject, eventdata, handles) % hobject handle to edit4 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end function edit5_callback(hobject, eventdata, handles) % hobject handle to edit5 (see GCBO) 123

51 % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit5 as text % str2double(get(hobject,'string')) returns contents of edit5 as a double % --- Executes during object creation, after setting all properties. function edit5_createfcn(hobject, eventdata, handles) % hobject handle to edit5 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end % --- Executes on button press in pushbutton7. function pushbutton7_callback(hobject, eventdata, handles) % hobject handle to pushbutton7 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) close % --- Executes on button press in pushbutton9. function pushbutton9_callback(hobject, eventdata, handles) % hobject handle to pushbutton9 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) a1 = str2double(get(handles.edit1,'string')); a2 = str2double(get(handles.edit2,'string')); a3 = str2double(get(handles.edit3,'string')); a4 = str2double(get(handles.edit4,'string')); a5 = str2double(get(handles.edit5,'string')); input = [a1 a2 a3 a4 a5]; fis = readfis('bismillah'); out = evalfis( [a1 a2 a3 a4 a5],fis); if out<=1.5 out = 'NORMAL'; elseif out > 1.5 && out <=2.5 out = 'HHD'; elseif out > 2.5 && out <=3.5 out = 'CHF'; else out= ' '; 124

52 end; set(handles.edit6,'string',out); function edit6_callback(hobject, eventdata, handles) % hobject handle to edit6 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) % Hints: get(hobject,'string') returns contents of edit6 as text % str2double(get(hobject,'string')) returns contents of edit6 as a double % --- Executes during object creation, after setting all properties. function edit6_createfcn(hobject, eventdata, handles) % hobject handle to edit6 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles empty - handles not created until after all CreateFcns called % Hint: edit controls usually have a white background on Windows. % See ISPC and COMPUTER. if ispc && isequal(get(hobject,'backgroundcolor'), get(0,'defaultuicontrolbackgroundcolor')) set(hobject,'backgroundcolor','white'); end % --- Executes on button press in pushbutton10. function pushbutton10_callback(hobject, eventdata, handles) % hobject handle to pushbutton10 (see GCBO) % eventdata reserved - to be defined in a future version of MATLAB % handles structure with handles and user data (see GUIDATA) global I; global I2; I=[0]; I2=[0]; axes(handles.axes1); imshow(i); axes(handles.axes2); imshow(i2); set(handles.edit1,'string',' '); set(handles.edit2,'string',' '); set(handles.edit3,'string',' '); set(handles.edit4,'string',' '); set(handles.edit5,'string',' '); set(handles.edit6,'string',' '); 125

53 126

LAMPIRAN 1. Pengolahan Citra Lead 2 LeadV6 Data Uji 1

LAMPIRAN 1. Pengolahan Citra Lead 2 LeadV6 Data Uji 1 LAMPIRAN 1 Data Pengujian Perangkat Lunak Pengolahan Citra Lead 2 LeadV6 Data Uji 1 Data Uji 2 Data Uji 3 Data Uji 4 Data Uji 5 65 66 Data Uji 6 Data Uji 7 Data Uji 8 Data Uji 9 Data Uji 10 Data Uji 11

Lebih terperinci

Manifold Pressure. Engine Temp

Manifold Pressure. Engine Temp LAMPIRAN 64 Lampiran 1 Data ECU pada data latih Nomor RPM Manifold Pressure Engine Temp Inlet Air Temp Lambda 1 4892 84.2 83 30.9 1.00 2 4927 78.7 83 30.9 0.98 3 5221 72.2 83 30.9 1.01 4 5285 56.1 83 30.9

Lebih terperinci

LAMPIRAN A CITRA HASIL PERCOBAAN

LAMPIRAN A CITRA HASIL PERCOBAAN LAMPIRAN A CITRA HASIL PERCOBAAN A-1 1. Hasil Watermarking Nama Asli yang Watermark Asli dan Watermark Hasil Ekstraksi α = 1 Telah Disisipkan Watermark Lena Baboon A-2 Barbara Lena Baboon A-3 Barbara Nama

Lebih terperinci

MODUL PRAKTIKUM. Histogram Citra. Adi Pamungkas https://pemrogramanmatlab.wordpress.com/ Dasar-Dasar Pengolahan Citra Digital menggunakan GUI MATLAB

MODUL PRAKTIKUM. Histogram Citra. Adi Pamungkas https://pemrogramanmatlab.wordpress.com/ Dasar-Dasar Pengolahan Citra Digital menggunakan GUI MATLAB MODUL PRAKTIKUM Dasar-Dasar Pengolahan Citra Digital menggunakan GUI MATLAB Histogram Citra Adi Pamungkas https://pemrogramanmatlab.wordpress.com/ I. Tujuan 1. Mengetahui pengertian citra digital beserta

Lebih terperinci

LA-1. tracking.m. % Edit the above text to modify the response to help tracking

LA-1. tracking.m. % Edit the above text to modify the response to help tracking LA-1 tracking.m Listing Code tracking.m adalah listing code untuk tampilan GUI dari sistem Object Tracking. Edit the above text to modify the response to help tracking Komentar yang diberikan menggunakan

Lebih terperinci

LAMPIRAN A: LISTING PROGRAM

LAMPIRAN A: LISTING PROGRAM LAMPIRAN A: LISTING PROGRAM function varargout = FigUtama(varargin) % FIGUTAMA M-file for FigUtama.fig % FIGUTAMA, by itself, creates a new FIGUTAMA or raises the % existing % singleton*. % % H = FIGUTAMA

Lebih terperinci

LAMPIRAN A PROGRAM MATLAB

LAMPIRAN A PROGRAM MATLAB LAMPIRAN A PROGRAM MATLAB LAMPIRAN A %% Praproses %% cropping baca= imread('data1.jpg'); figure('name','baca Image'); a=imshow(baca); b=im2bw(baca,graythresh(baca)); b=~b; [baris kolom] = size(b); for

Lebih terperinci

LAMPIRAN LISTING PROGRAM

LAMPIRAN LISTING PROGRAM 84 LAMPIRAN LISTING PROGRAM Beranda.m function varargout = Beranda(varargin) % BERANDA M-file for Beranda.fig gui_singleton = 1; gui_state = struct('gui_name', mfilename,... 'gui_singleton', gui_singleton,...

Lebih terperinci

A-1 Universitas Kristen Maranatha

A-1 Universitas Kristen Maranatha A-1 Program Pencarian Normalized Central Moments function n_pq=cent_moment(p,q,a) zero and first order moments [m n]=size(a); moo=sum(sum(a)); m1o=0; mo1=0; for x=0:m-1 for y=0:n-1 m1o=m1o+(x)*a(x+1,y+1);

Lebih terperinci

DAFTAR PUSTAKA. Agus Naba. (2009). Belajar Cepat Fuzzy Logic Menggunakan Matlab. Yogyakarta: ANDI.

DAFTAR PUSTAKA. Agus Naba. (2009). Belajar Cepat Fuzzy Logic Menggunakan Matlab. Yogyakarta: ANDI. DAFTAR PUSTAKA Aceng Sambas. (2013). Membuat GUI untuk Logika Fuzzy. Diakses dari http:// komputasirobotic.blogspot.co.id/2013/07/membuat-gui-untuk-logika-fuzzy.html. pada tanggal 04 Maret 2016, Jam 11.20

Lebih terperinci

Ekstraksi Histogram Citra Digital Untuk Mengukur Similarity dengan Menggunakan Metode Euclidian Distance

Ekstraksi Histogram Citra Digital Untuk Mengukur Similarity dengan Menggunakan Metode Euclidian Distance Ekstraksi Histogram Citra Digital Untuk Mengukur Similarity dengan Menggunakan Metode Euclidian Distance Anggota Kelompok 1. Hapsari Dita A J2F008033 2. Harlina Nur A J2F008034 3. Luk Luul M J2F008042

Lebih terperinci

if nargout [varargout{1:nargout}] = gui_mainfcn(gui_state, varargin{:}); else gui_mainfcn(gui_state, varargin{:}); end

if nargout [varargout{1:nargout}] = gui_mainfcn(gui_state, varargin{:}); else gui_mainfcn(gui_state, varargin{:}); end 77 Lampiran 1. Fungsi Menu Utama function varargout = menu_utm(varargin) gui_singleton = 1; gui_state = struct('gui_name', mfilename,... 'gui_singleton', gui_singleton,... 'gui_openingfcn', @menu_utm_openingfcn,...

Lebih terperinci

LAPORAN PENELITIAN. Analisa Sistem Pencacah Obyek Gambar berbasis GUI-DE Matlab

LAPORAN PENELITIAN. Analisa Sistem Pencacah Obyek Gambar berbasis GUI-DE Matlab KODE : 123 / ILMU KOMPUTER LAPORAN PENELITIAN Analisa Sistem Pencacah Obyek Gambar berbasis GUI-DE Matlab Oleh tim : EDDY NURAHARJO, ST, M.Cs 0628127301 (Ketua) WIWIEN HADIKURNIAWATI, ST, M.Kom 0616037602

Lebih terperinci

OPTIMASI MASALAH KNAPSACK MENGGUNAKAN ALGORITMA BRANCH AND BOUND SKRIPSI

OPTIMASI MASALAH KNAPSACK MENGGUNAKAN ALGORITMA BRANCH AND BOUND SKRIPSI OPTIMASI MASALAH KNAPSACK MENGGUNAKAN ALGORITMA BRANCH AND BOUND SKRIPSI Untuk memenuhi sebagai persyaratan guna memperoleh derajat Sarjana S-1 Program Studi Matematika Diajukan oleh FITRIATUL MALAIKHAH

Lebih terperinci

BAB III METODE PENELITIAN. jantung pasien penyakit jantung secara elektro-akustik atau PCG

BAB III METODE PENELITIAN. jantung pasien penyakit jantung secara elektro-akustik atau PCG BAB III METODE PENELITIAN A. Metode Pengumpulan Data Data penelitian ini diperoleh melalui observasi terhadap pasien penyakit jantung. Penelitian ini menggunakan alat untuk mendeteksi dan perekaman detak

Lebih terperinci

PEREKAYASAAN DENSITOMETER DIGITAL BERBASIS MATLAB UNTUK MENDUKUNG UNNES BERWAWASAN KONSERVASI

PEREKAYASAAN DENSITOMETER DIGITAL BERBASIS MATLAB UNTUK MENDUKUNG UNNES BERWAWASAN KONSERVASI LAPORAN PENGEMBANGAN PENELITIAN BERBASIS KONSERVASI PEREKAYASAAN DENSITOMETER DIGITAL BERBASIS MATLAB UNTUK MENDUKUNG UNNES BERWAWASAN KONSERVASI Oleh: Prof.Dr.rer.nat. Wahyu Hardyanto, M.Si-NIP 196011241984031002

Lebih terperinci

OPTIMALISASI PARAMETER REGRESI RESPONSE SURFACE METHODOLOGY DALAM LABA USAHA PEDAGANG BUAH DAN APLIKASINYA MENGGUNAKAN MATLAB

OPTIMALISASI PARAMETER REGRESI RESPONSE SURFACE METHODOLOGY DALAM LABA USAHA PEDAGANG BUAH DAN APLIKASINYA MENGGUNAKAN MATLAB OPTIMALISASI PARAMETER REGRESI RESPONSE SURFACE METHODOLOGY DALAM LABA USAHA PEDAGANG BUAH DAN APLIKASINYA MENGGUNAKAN Skripsi disusun sebagai salah satu syarat untuk memperoleh gelar Sarjana Sains Program

Lebih terperinci

UNIVERSITAS INDONESIA. PERANCANGAN SISTEM QUERY BY SINGING/HUMMING (QbSH) UNTUK MUSIK DANGDUT DENGAN PITCH DAN DURASI SEBAGAI FEATURE SKRIPSI

UNIVERSITAS INDONESIA. PERANCANGAN SISTEM QUERY BY SINGING/HUMMING (QbSH) UNTUK MUSIK DANGDUT DENGAN PITCH DAN DURASI SEBAGAI FEATURE SKRIPSI da UNIVERSITAS INDONESIA PERANCANGAN SISTEM QUERY BY SINGING/HUMMING (QbSH) UNTUK MUSIK DANGDUT DENGAN PITCH DAN DURASI SEBAGAI FEATURE SKRIPSI Diajukan sebagai salah satu syarat memperoleh gelar sarjana

Lebih terperinci

LAMPIRAN A. Prosedur Pengoperasian Sistem. xxi

LAMPIRAN A. Prosedur Pengoperasian Sistem. xxi LAMPIRAN A Prosedur Pengoperasian Sistem xxi LA.1. Prosedur Pengoperasian Alat Bagian ini menjelaskan tentang bagaimana cara mengoperasikan alat pengukur tingkat kematangan buah yang telah dirancang.komponen

Lebih terperinci

GUI Matlab untuk membuat grafik fungsi

GUI Matlab untuk membuat grafik fungsi GUI Matlab untuk membuat grafik fungsi Memulai GUI Matlab Panggil program MATLAB, Start program Matlab. Melalui editor window Matlab, ketiklah >> guide. Akan ditampilkan kotak dialog seperti tampak dalam

Lebih terperinci

PENGANTAR PENGOLAHAN CITRA

PENGANTAR PENGOLAHAN CITRA PENGANTAR PENGOLAHAN CITRA Aplikasi Pengolahan Citra Menggunakan MatLab 7.1 Dosen : Bapak Eri Prasetyo Wibowo NPM : 50407034 Kelas : 4IA08 Nama : Aditya Rangga Pratama Fakultas : Teknologi Industri Jurusan

Lebih terperinci

APLIKASI PENCITRAAN DATA DIGITAL PADA OBJEK SAYAP CAPUNG MENGGUNAKAN PEMOGRAMAN MATLAB

APLIKASI PENCITRAAN DATA DIGITAL PADA OBJEK SAYAP CAPUNG MENGGUNAKAN PEMOGRAMAN MATLAB APLIKASI PENCITRAAN DATA DIGITAL PADA OBJEK SAYAP CAPUNG MENGGUNAKAN PEMOGRAMAN MATLAB skripsi disajikan sebagai salah satu syarat untuk memperoleh gelar Sarjana Sains Program Studi Fisika oleh Muhammad

Lebih terperinci

BAB IV PEMBAHASAN. A. Hasil Model Radial Basis Function Neural Network (RBFNN) Langkah-langkah untuk menentukan model terbaik Radial Basis Function

BAB IV PEMBAHASAN. A. Hasil Model Radial Basis Function Neural Network (RBFNN) Langkah-langkah untuk menentukan model terbaik Radial Basis Function BAB IV PEMBAHASAN A. Hasil Model Radial Basis Function Neural Network (RBFNN) Langkah-langkah untuk menentukan model terbaik Radial Basis Function Neural Network (RBFNN) untuk diagnosis penyakit jantung

Lebih terperinci

APLIKASI MATLAB UNTUK PENGOLAHAN CITRA

APLIKASI MATLAB UNTUK PENGOLAHAN CITRA APLIKASI MATLAB UNTUK PENGOLAHAN CITRA 1. Membaca Sebuah File Citra Langkah-langkah yang harus dilakukan : a. Siapkan sebuah figure yang akan digunakan b. Siapkan komponen yang akan digunakan yaitu dua

Lebih terperinci

GUIDE. maupun menu. Aplikasi yang menggunakan GUI umumnya lebih mudah dipelajari dan

GUIDE. maupun menu. Aplikasi yang menggunakan GUI umumnya lebih mudah dipelajari dan GUIDE GUIDE atau GUI builder merupakan sebuah graphical user interface (GUI) yang dibangun dengan obyek grafis seperti tombol (button),kotak teks,slider,sumbu (axes), maupun menu. Aplikasi yang menggunakan

Lebih terperinci

LISTING PROGRAM. if nargout [varargout{1:nargout}] = gui_mainfcn(gui_state, varargin{:}); else gui_mainfcn(gui_state, varargin{:}); end

LISTING PROGRAM. if nargout [varargout{1:nargout}] = gui_mainfcn(gui_state, varargin{:}); else gui_mainfcn(gui_state, varargin{:}); end LISTING PROGRAM Kode Program Tampilan Home function varargout = beranda(varargin) gui_singleton = 1; gui_state = struct('gui_name', mfilename,... 'gui_singleton', gui_singleton,... 'gui_openingfcn', @beranda_openingfcn,...

Lebih terperinci

BAB IV PEMBAHASAN 4.1. Perhitungan Manual Teknik Penapisan Penapisan dengan Nilai Tapis Ditentukan Sendiri

BAB IV PEMBAHASAN 4.1. Perhitungan Manual Teknik Penapisan Penapisan dengan Nilai Tapis Ditentukan Sendiri BAB IV PEMBAHASAN 4.1. Perhitungan Manual Teknik Penapisan 4.1.1. Penapisan dengan Nilai Tapis Ditentukan Sendiri Berikut merupakan contoh perhitungan manual penapisan matrik X dengan ukuran 4x4 menggunakan

Lebih terperinci

PENERAPAN ALGORITMA REVERSE DELETE DALAM MENENTUKAN MINIMUM SPANNING TREE OBYEK WISATA DI KOTA YOGYAKARTA SKRIPSI

PENERAPAN ALGORITMA REVERSE DELETE DALAM MENENTUKAN MINIMUM SPANNING TREE OBYEK WISATA DI KOTA YOGYAKARTA SKRIPSI PENERAPAN ALGORITMA REVERSE DELETE DALAM MENENTUKAN MINIMUM SPANNING TREE OBYEK WISATA DI KOTA YOGYAKARTA SKRIPSI Untuk memenuhi sebagai persyaratan guna memperoleh derajat Sarjana S-1 Program Studi Matematika

Lebih terperinci

GRAPHICAL USER INTERFACE (GUI) (Lanjutan)

GRAPHICAL USER INTERFACE (GUI) (Lanjutan) 12 GRAPHICAL USER INTERFACE (GUI) (Lanjutan) 12.1. Frame Frame adalah sebuah objek yang mengumpulkan beberapa objek sejenis dalam sebuah daerah tertentu di dalam window. Frame akan membuat pengguna lebih

Lebih terperinci

Lampiran 1 Rekap Hasil Kuesioner untuk Peternak dan Karyawan Koperasi KUESIONER

Lampiran 1 Rekap Hasil Kuesioner untuk Peternak dan Karyawan Koperasi KUESIONER 163 Lampiran 1 Rekap Hasil Kuesioner untuk Peternak dan Karyawan Koperasi Pengantar KUESIONER Bapak/Ibu yang terhormat, penelitian ini ditujukan untuk kepentingan akademik dalam rangka penyelesaian studi

Lebih terperinci

% --- Outputs from this function are returned to the command line. function varargout = beranda_outputfcn(hobject, eventdata, handles)

% --- Outputs from this function are returned to the command line. function varargout = beranda_outputfcn(hobject, eventdata, handles) A-1 LAMPIRAN LISTING PROGRAM beranda.m function varargout = beranda(varargin) gui_singleton = 1; gui_state = struct('gui_name', mfilename,... 'gui_singleton', gui_singleton,... 'gui_openingfcn', @beranda_openingfcn,...

Lebih terperinci

KLASIFIKASI PENYAKIT JANTUNG MENGGUNAKAN WAVELET FUZZY SYSTEMS TUGAS AKHIR SKRIPSI

KLASIFIKASI PENYAKIT JANTUNG MENGGUNAKAN WAVELET FUZZY SYSTEMS TUGAS AKHIR SKRIPSI KLASIFIKASI PENYAKIT JANTUNG MENGGUNAKAN WAVELET FUZZY SYSTEMS TUGAS AKHIR SKRIPSI Diajukan kepada Fakultas Matematika dan Ilmu Pengetahuan Alam Universitas Negeri Yogyakarta untuk Memenuhi Sebagian Persyaratan

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Rangkaian. Instrumen

BAB III METODOLOGI PENELITIAN. Rangkaian. Instrumen 3.1 Perancangan Modul BAB III METODOLOGI PENELITIAN Elektroda 1 Rangkaian Instrumen FILTER (HPF LPF-) Adder Elektroda 2 VISUAL INTERFACE Modul Bluetooth ATMega328 Gambar 3.1 Blok Diagram Sistem Elektroda

Lebih terperinci

PENYELESAIAN NUMERIS MASALAH NILAI BATAS PADA PERSAMAAN DIFERENSIAL BIASA ORDE DUA BERBASIS KOMPUTASI

PENYELESAIAN NUMERIS MASALAH NILAI BATAS PADA PERSAMAAN DIFERENSIAL BIASA ORDE DUA BERBASIS KOMPUTASI PENYELESAIAN NUMERIS MASALAH NILAI BATAS PADA PERSAMAAN DIFERENSIAL BIASA ORDE DUA BERBASIS KOMPUTASI (Studi Komparatif antara Metode Beda Hingga dan Metode Tembakan) SKRIPSI Diajukan Kepada Fakultas Sains

Lebih terperinci

PEMBENTUKAN KATA SANDI MENGGUNAKAN PERTUKARAN KUNCI STICKEL ATAS ALJABAR MIN-PLUS UNTUK MENGAMANANKAN INFORMASI RAHASIA

PEMBENTUKAN KATA SANDI MENGGUNAKAN PERTUKARAN KUNCI STICKEL ATAS ALJABAR MIN-PLUS UNTUK MENGAMANANKAN INFORMASI RAHASIA PEMBENTUKAN KATA SANDI MENGGUNAKAN PERTUKARAN KUNCI STICKEL ATAS ALJABAR MIN-PLUS UNTUK MENGAMANANKAN INFORMASI RAHASIA SKRIPSI Untuk memenuhi sebagian persyaratan mencapai derajat Sarjana S-1 Program

Lebih terperinci

Tugas #3: Filsafat Ilmu

Tugas #3: Filsafat Ilmu Tugas #3: Filsafat Ilmu Oleh : 1. Koredianto Usman (NIM : 33213002) 2. Suyoto (NIM : 33213015) Deskripsi Tugas : Mensimulasikan ketinggian air pada halaman berukuran 10 x 20 meter dengan pagar tembok.

Lebih terperinci

PENGENALAN POLA ANGKA DENGAN WAVELET HAAR. Skripsi

PENGENALAN POLA ANGKA DENGAN WAVELET HAAR. Skripsi PENGENALAN POLA ANGKA DENGAN WAVELET HAAR Skripsi Diajukan untuk Memenuhi Salah Satu Syarat Memperoleh Gelar Sarjana Sains Program Studi Ilmu Komputer Oleh : Fransisca Pramesti NIM: 23249 PRODI ILMU KOMPUTER

Lebih terperinci

KAJIAN TEORETIS DAN KOMPUTASI GERHANA MATAHARI TOTAL MENGGUNAKAN SOFTWARE MATLAB

KAJIAN TEORETIS DAN KOMPUTASI GERHANA MATAHARI TOTAL MENGGUNAKAN SOFTWARE MATLAB KAJIAN TEORETIS DAN KOMPUTASI GERHANA MATAHARI TOTAL MENGGUNAKAN SOFTWARE MATLAB SKRIPSI Untuk memenuhi sebagian persyaratan mencapai derajat Sarjana S-1 Program Studi Fisika Diajukan Oleh: Siti Hodijah

Lebih terperinci

ANALISIS FUNGSI PRODUKSI COBB DOUGLAS DENGAN METODE ITERASI GAUSS NEWTON SKRIPSI. Oleh Anggun Nurul Hidayah NIM 061810101046

ANALISIS FUNGSI PRODUKSI COBB DOUGLAS DENGAN METODE ITERASI GAUSS NEWTON SKRIPSI. Oleh Anggun Nurul Hidayah NIM 061810101046 ANALISIS FUNGSI PRODUKSI COBB DOUGLAS DENGAN METODE ITERASI GAUSS NEWTON SKRIPSI Oleh Anggun Nurul Hidayah NIM 061810101046 JURUSAN MATEMATIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Analisis Sistem Pengenalan wajah ini bertujuan untuk mengenali wajah seseorang dari data wajah-wajah orang yang telah diinputkan terlebih dahulu. Dengan memasukkan

Lebih terperinci

LAMPIRAN. 1. Program Data Masukkan. Diameter Menara. Diameter Kolektor. Tinggi Kolektor. Radiasi Matahari Global. Tinggi Menara

LAMPIRAN. 1. Program Data Masukkan. Diameter Menara. Diameter Kolektor. Tinggi Kolektor. Radiasi Matahari Global. Tinggi Menara 52 LAMPIRAN 1. Program Data Masukkan Diameter Menara function edit_1_callbac k(hobject, eventdata, handles) diameterm=str2num(get(hobject,'string')); handles.diameterm=diameterm; Diameter Kolektor function

Lebih terperinci

BAB IV HASIL DAN PEMBAHASAN. dua proses, yaitu proses akusisi data dan algoritma exemplar-based

BAB IV HASIL DAN PEMBAHASAN. dua proses, yaitu proses akusisi data dan algoritma exemplar-based BAB IV HASIL DAN PEMBAHASAN 4.1 Perancangan Aplikasi Secara umum aplikasi pemugaran citra digital terbagi menjadi dua proses, yaitu proses akusisi data dan algoritma exemplar-based image inpainting. Alur

Lebih terperinci

BAB IV ANALISIS DAN PERANCANGAN SISTEM

BAB IV ANALISIS DAN PERANCANGAN SISTEM BAB IV ANALISIS DAN PERANCANGAN SISTEM 4. 4.1. Analisis Kebutuhan Sistem Analisis kebutuhan sistem terdiri dari deskripsi umum sistem, batasan dan asumsi, analisis masukan sistem, model proses sistem dan

Lebih terperinci

PENYELESAIAN TRAVELLING SALESMAN PROBLEM DENGAN ALGORITMA ARTIFICIAL BEE COLONY (STUDI KASUS : PENDISTRIBUSIAN HEWAN QURBAN PPHQ AMM) Skripsi

PENYELESAIAN TRAVELLING SALESMAN PROBLEM DENGAN ALGORITMA ARTIFICIAL BEE COLONY (STUDI KASUS : PENDISTRIBUSIAN HEWAN QURBAN PPHQ AMM) Skripsi PENYELESAIAN TRAVELLING SALESMAN PROBLEM DENGAN ALGORITMA ARTIFICIAL BEE COLONY (STUDI KASUS : PENDISTRIBUSIAN HEWAN QURBAN PPHQ AMM) Skripsi Untuk memenuhi sebagai persyaratan Mencapai derajat sarjana

Lebih terperinci

PERANCANGAN WORKSTATION

PERANCANGAN WORKSTATION PERANCANGAN WORKSTATION SORTIR BERDASARKAN WARNA MENGGUNAKAN DETEKSI WARNA HSV PADA SIMULASI AUTOMATED STORAGE AND RETRIEVAL SYSTEM DI KEPROFESIAN OTOMASI FAKULTAS REKAYASA INDUSTRI UNIVERSITAS TELKOM

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Desain Penelitian Jenis penelitian ini adalah eksperimental quasi dengan pendekatan one group pre-post test A. Populasi dan Subyek Penelitian 1. Populasi Penelitian Pasien dengan

Lebih terperinci

PERMOHONAN MENJADI RESPONDEN

PERMOHONAN MENJADI RESPONDEN Lampiran 1 PERMOHONAN MENJADI RESPONDEN Kepada Yth. Bapak atau Ibu Responden Di Desa Salamrejo Kulon Progo Assalamualaikum Warahmatullahi Wabarakatatuh Dengan Hormat. Saya yang bertanda tangan dibawah

Lebih terperinci

Pernyataan Etika Penelitian

Pernyataan Etika Penelitian Kepada Yth. :. Pernyataan Etika Penelitian Saya yang bertandatangan dibawah ini adalah sebagai peneliti: Nama : Bayu Brahmantia NIM : 20141050016 Jurusan : Program Studi Magister Keperawatan Universitas

Lebih terperinci

GUIDE atau GUI builder. Ira Prasetyaningrum, M.T

GUIDE atau GUI builder. Ira Prasetyaningrum, M.T GUIDE atau GUI builder Ira Prasetyaningrum, M.T Pendahuluan GUIDE atau GUI builder merupakan sebuah graphical user interface (GUI) yang dibangun dengan obyek grafik seperti tombol (button), kotak teks,

Lebih terperinci

BAB I. berkembang. Penyakit ini menjadi penyebab nomor satu kematian di dunia setiap

BAB I. berkembang. Penyakit ini menjadi penyebab nomor satu kematian di dunia setiap BAB I PENDAHULUAN A. Latar Belakang Masalah Penyakit jantung atau penyakit kardiovaskular dan pembuluh darah merupakan salah satu masalah kesehatan utama di negara maju maupun berkembang. Penyakit ini

Lebih terperinci

Fungsi wavrecord. Praktikum Pengenalan Bahasa Alami Pertemuan Pertama: Pengenalan Fungsi Dasar Pemrosesan Suara di Matlab

Fungsi wavrecord. Praktikum Pengenalan Bahasa Alami Pertemuan Pertama: Pengenalan Fungsi Dasar Pemrosesan Suara di Matlab Praktikum Pengenalan Bahasa Alami Pertemuan Pertama: Pengenalan Fungsi Dasar Pemrosesan Suara di Matlab Departemen Ilmu Komputer Fakultas Matematika dan Ilmu Pengetahuan Alam Institut Pertanian Bogor Fungsi

Lebih terperinci

Lampiran 1. Ethical Clearance

Lampiran 1. Ethical Clearance Lampiran 1. Ethical Clearance Lampiran 2. Surat Ijin Penelitian RSUP Dr. Sardjito Yogyakarta Lampiran 3. Surat Keterangan Selesai Penelitian Lampiran 4. Surat Persetujuan (Informed Consent) LEMBAR PENJELASAN

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI Pada bab ini akan dibahas beberapa konsep dasar yang akan digunakan sebagai landasan berpikir seperti beberapa literatur yang berkaitan dengan penelitian ini. Dengan begitu akan mempermudah

Lebih terperinci

INSTRUKSI PENCABANGAN

INSTRUKSI PENCABANGAN INSTRUKSI PENCABANGAN Sebagai pengembang (programmer) sudah pasti mengetahui jenis operasi instruksi. Salah satu yang perlu dipelajari atau dimengerti adalah operasi instruksi pencabangan. 3.1 Pencabangan

Lebih terperinci

BAB I PENDAHULUAN. Penyakit jantung merupakan salah satu penyebab kematian terbesar di

BAB I PENDAHULUAN. Penyakit jantung merupakan salah satu penyebab kematian terbesar di BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Penyakit jantung merupakan salah satu penyebab kematian terbesar di Indonesia (Depkes, 2011). Penyakit jantung ini merupakan salah satu penyakit yang tidak

Lebih terperinci

IMPLEMENTASI ALGORITMA BRANCH AND BOUND UNTUK OPTIMASI RUTE PENGANGKUTAN SAMPAH KOTA YOGYAYAKARTA

IMPLEMENTASI ALGORITMA BRANCH AND BOUND UNTUK OPTIMASI RUTE PENGANGKUTAN SAMPAH KOTA YOGYAYAKARTA IMPLEMENTASI ALGORITMA BRANCH AND BOUND UNTUK OPTIMASI RUTE PENGANGKUTAN SAMPAH KOTA YOGYAYAKARTA Skripsi Untuk memenuhi sebagian persyaratan mencapai derajat Sarjana S-1 Program Studi Matematika diajukan

Lebih terperinci

LAMPIRAN. Percobaan Untuk Mendapatkan Parameter Parameter Motor induksi 3 Fasa

LAMPIRAN. Percobaan Untuk Mendapatkan Parameter Parameter Motor induksi 3 Fasa LAMPIRAN Percobaan Untuk Mapatkan Parameter Parameter Motor induksi 3 Fasa Untuk dapat menentukan parameter parameter motor induksi 3 fasa rotor sangkar, maka dapat dilakukan dengan percobaan berikut ini:

Lebih terperinci

PENENTUAN HARGA OPSI BELI EROPA DENGAN METODE SIMULASI MONTE CARLO (Studi Kasus Saham PT Astra Internasional Tbk)

PENENTUAN HARGA OPSI BELI EROPA DENGAN METODE SIMULASI MONTE CARLO (Studi Kasus Saham PT Astra Internasional Tbk) PENENTUAN HARGA OPSI BELI EROPA DENGAN METODE SIMULASI MONTE CARLO (Studi Kasus Saham PT Astra Internasional Tbk) Skripsi Untuk memenuhi sebagian persyaratan Mencapai derajat Sarjana S-1 Program Studi

Lebih terperinci

BAB I PENDAHULUAN. gagal ginjal, epilepsy dan lain sebagainya. Menurut Organisasi Kesehatan Dunia

BAB I PENDAHULUAN. gagal ginjal, epilepsy dan lain sebagainya. Menurut Organisasi Kesehatan Dunia 1 BAB I PENDAHULUAN 1.1. Latar Belakang Penyakit jantung (koroner) merupakan salah satu penyebab kematian terbesar di dunia dan di Indonesia. Penyakit jantung ini merupakan salah satu penyakit yang tidak

Lebih terperinci

PROGRAM STUDI ILMU KEPERWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA

PROGRAM STUDI ILMU KEPERWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA PROGRAM STUDI ILMU KEPERWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA PENJELASAN PENELITIAN KEPADA IBU YANG MEMILIKI ANAK USIA 5-11 TAHUN DI DUSUN TLOGO TAMANTIRTO KASIHAN

Lebih terperinci

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM. penelitian laboratorium. Studi kepustakaan dilakukan untuk mencari teori atau

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM. penelitian laboratorium. Studi kepustakaan dilakukan untuk mencari teori atau BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM 3.1 Metode Penelitian Metode penelitian yang digunakan meliputi studi kepustakaan dan penelitian laboratorium. Studi kepustakaan dilakukan untuk mencari

Lebih terperinci

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM 3.1 Metode Penelitian Database sinyal EKG Pengambilan data dari database Visual Basic 6.0 Discrete Wavelet Transform (DWT) Dekomposisi Daubechies Orde 2

Lebih terperinci

Pedoman Penyusunan Lembar Penjelasan kepada Calon Subyek

Pedoman Penyusunan Lembar Penjelasan kepada Calon Subyek Pedoman Penyusunan Lembar Penjelasan kepada Calon Subyek Calon subyek dapat berasal dari masyarakat (penelitian komunitas) atau pasien (penelitian klinis). Lembar penjelasan harus cukup jelas dan mudah

Lebih terperinci

Buka Start -> All Programs -> Microsoft Visual Studio - > Microsoft Visual Fox Pro 6.0

Buka Start -> All Programs -> Microsoft Visual Studio - > Microsoft Visual Fox Pro 6.0 PAKET PEMROGRAMAN II Buka Start -> All Programs -> Microsoft Visual Studio - > Microsoft Visual Fox Pro 6.0 A. Menu Bar B. Standar ToolBar E. Jendela Command D. Jendela Kode C. Form Designer F. Form Controls

Lebih terperinci

Otodidak VBA MS Excel untuk Pemula

Otodidak VBA MS Excel untuk Pemula Otodidak VBA MS Excel untuk Pemula Otodidak VBA MS Excel untuk Pemula Jubilee Enterprise PENERBIT PT ELEX MEDIA KOMPUTINDO Otodidak VBA MS Excel untuk Pemula Jubilee Enterprise 2017, PT Elex Media Komputindo,

Lebih terperinci

Panduan Praktikum Pengolahan Citra Digital dengan Matlab IGA Widagda Fisika FMIPA UNUD 2014

Panduan Praktikum Pengolahan Citra Digital dengan Matlab IGA Widagda Fisika FMIPA UNUD 2014 Panduan Praktikum Pengolahan Citra Digital dengan Matlab IGA Widagda Fisika FMIPA UNUD 2014 1 Informasi citra Fisika Tomografi 1 Informasi Citra 1.1 Jenis-jenis Citra digital a. Citra Abu-abu (Grayscale)

Lebih terperinci

KAJIAN STEPWEDGE BERBAHAN DASAR RESIN SEBAGAI PENGGANTI JARINGAN LUNAK DALAM SISTEM RADIOGRAFI DIGITAL

KAJIAN STEPWEDGE BERBAHAN DASAR RESIN SEBAGAI PENGGANTI JARINGAN LUNAK DALAM SISTEM RADIOGRAFI DIGITAL KAJIAN STEPWEDGE BERBAHAN DASAR RESIN SEBAGAI PENGGANTI JARINGAN LUNAK DALAM SISTEM RADIOGRAFI DIGITAL Skripsi disusun sebagai salah satu syarat untuk memperoleh gelar Sarjana Sains Program Studi Fisika

Lebih terperinci

PROGRAM STUDI ILMU KEPERAWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA

PROGRAM STUDI ILMU KEPERAWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA LAMPIRAN PROGRAM STUDI ILMU KEPERAWATAN FAKULTAS KEDOKTERAN DAN ILMU KESEHATAN UNIVERSITAS MUHAMMADIYAH YOGYAKARTA PENJELASAN PENELITIAN KEPADA PASIEN GAGAL GINJAL KRONIS YANG MENJALNI HEMODIALISIS DI

Lebih terperinci

Lampiran 1. Surat Izin Etik Penelitian

Lampiran 1. Surat Izin Etik Penelitian LAMPIRAN 42 Lampiran 1. Surat Izin Etik Penelitian Lampiran 2. Lembar Permohonan Menjadi Responden LEMBAR PERMOHONAN MENJADI RESPONDEN Responden yang terhormat, Perkenalkan saya mahasiswa Program Studi

Lebih terperinci

FILE URUT (Sequential File)

FILE URUT (Sequential File) FILE URUT (Sequential File) 1. PENDAHULUAN Organisasi file secara urut memungkinkan pengaksesan record di file secara berurutan Ada 2 macam organisasi file secara urut : 1. Bentuk organisasi SEQUENTIAL

Lebih terperinci

BAB IV HASIL DAN PEMBAHASAN. Tingkat kesehatan bank dapat diketahui dengan melihat peringkat

BAB IV HASIL DAN PEMBAHASAN. Tingkat kesehatan bank dapat diketahui dengan melihat peringkat BAB IV HASIL DAN PEMBAHASAN A. Analisis Tingkat Kesehatan Bank Tingkat kesehatan bank dapat diketahui dengan melihat peringkat komposit bank tersebut. Menurut peraturan Bank Indonesia No. 13/1/PBI/2011

Lebih terperinci

KOMPRESI CITRA MENGGUNAKAN TEKNIK LOSSY DENGAN METODE ALGORITMA JPEG ( Image Compression Using Lossy Technique with JPEG Algorithm Method )

KOMPRESI CITRA MENGGUNAKAN TEKNIK LOSSY DENGAN METODE ALGORITMA JPEG ( Image Compression Using Lossy Technique with JPEG Algorithm Method ) KOMPRESI CITRA MENGGUNAKAN TEKNIK LOSSY DENGAN METODE ALGORITMA JPEG ( Image Compression Using Lossy Technique with JPEG Algorithm Method ) Oleh : Yenniwarti Rafsyam Jurusan Teknik Elektro Politeknik Negeri

Lebih terperinci

Praktikum Sistem Pakar Jumat 16 Desember 2013 Pertemuan 12. Tabel 1. Rancangan Variabel fuzzy Fungsi Nama Variabel Rentang Nilai Keterangan

Praktikum Sistem Pakar Jumat 16 Desember 2013 Pertemuan 12. Tabel 1. Rancangan Variabel fuzzy Fungsi Nama Variabel Rentang Nilai Keterangan Praktikum Sistem Pakar Jumat 16 Desember 2013 Pertemuan 12 Studi Kasus : Studi Permasalahan: Suatu Perusahaan akan melakukan perkiraan terhadap produksi suatu barang tiap bulan. Untuk menentukan jumlah

Lebih terperinci

Praktikum JTable. Gambar 1

Praktikum JTable. Gambar 1 Praktikum JTable Praktikum 1 : Buatlah aplikasi seperti gambar 1. Pada aplikasi tersebut user dapat menambahkan, mengedit dan menghapus data. Data yang dimasukkan berupa nama, alamat, no telp dan email.

Lebih terperinci

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM 3.1 Metode Penelitian Sinyal PCG Denoising Dekomposisi Frekuensi cuplik 8Khz Frekuensi cuplik 44,1Khz Frekuensi cuplik 48Khz Coiflet Symlet Daubechies Biorthogonal

Lebih terperinci

Algoritma Pemrograman. GUI Programming 2

Algoritma Pemrograman. GUI Programming 2 Algoritma Pemrograman GUI Programming 2 Numerik int float char double Non Numerik AnsiString Tipe bentukan: array, enum, struct Tipe Data BCB Konversi tipe Data String to Integer StrToInt String to Float

Lebih terperinci

BAB IV METODE PENELITIAN. Ruang Lingkup Keilmuan: Anastesiologi dan Ilmu Penyakit Dalam. Penelitian dimulai pada bulan juni 2013 sampai juli 2013.

BAB IV METODE PENELITIAN. Ruang Lingkup Keilmuan: Anastesiologi dan Ilmu Penyakit Dalam. Penelitian dimulai pada bulan juni 2013 sampai juli 2013. BAB IV METODE PENELITIAN 4.1 Ruang Lingkup Penelitian Ruang Lingkup Keilmuan: Anastesiologi dan Ilmu Penyakit Dalam. 4.2 Tempat dan Waktu Penelitian 1) Tempat penelitian: Ruang ICU (Intensive Care Unit)

Lebih terperinci

BAB III METODE PENELITIAN. eksperimental quasi dengan desain pre post test. Pasien pencabutan gigi di RSGM UMY. { } N = Jumlah subyek yang diperlukan

BAB III METODE PENELITIAN. eksperimental quasi dengan desain pre post test. Pasien pencabutan gigi di RSGM UMY. { } N = Jumlah subyek yang diperlukan BAB III METODE PENELITIAN A. Desain Penelitian Jenis penelitian ini adalah kuantitatif dengan pendekatan eksperimental quasi dengan desain pre post test. B. Populasi dan Sampel Penelitian 1. Populasi Penelitian

Lebih terperinci

1. Desktop Microsoft Windows

1. Desktop Microsoft Windows 1. Desktop Microsoft Windows Icon Shortcut Quick Launch Taskbar Taskbar Shortcut Pada umumnya, taskbar ialah bagian yang terletak pada bagian bawah dari desktop. Tombol Start, tombol program-program aktif,

Lebih terperinci

1. Proses Normalisasi

1. Proses Normalisasi BAB IV PEMBAHASAN A. Pr-Procssing Pross pngolahan signal PCG sblum dilakukan kstaksi dan klasifikasi adalah pr-procssing. Signal PCG untuk data training dan data tsting trdapat dalam lampiran 5 (halaman

Lebih terperinci

LEMBAR PERMOHONAN MENJADI RESPONDEN. Perkenalkan saya adalah mahasiswa Program Studi Pendidikan Dokter,

LEMBAR PERMOHONAN MENJADI RESPONDEN. Perkenalkan saya adalah mahasiswa Program Studi Pendidikan Dokter, LAMPIRAN LEMBAR PERMOHONAN MENJADI RESPONDEN Responden yang terhormat, Perkenalkan saya adalah mahasiswa Program Studi Pendidikan Dokter, Fakultas Kedokteran dan Ilmu Kesehatan, Universitas Muhammadiyah

Lebih terperinci

BAB III LANDASAN TEORI

BAB III LANDASAN TEORI BAB III LANDASAN TEORI Bab ini akan memaparkan berbagai teori yang melandasi penulis dalam membangun sistem yang nantinya akan dibuat. 3.1. Pengertian Optimalisasi Secara umum pengertian optimalisasi menurut

Lebih terperinci

DASAR MENGGUNAKAN VISUAL BASIC 6.0

DASAR MENGGUNAKAN VISUAL BASIC 6.0 DASAR MENGGUNAKAN VISUAL BASIC 6.0 Apa itu Visual Basic? Kata Visual menunjukkan cara yang digunakan untuk membuat Graphical User Interface (GUI). Dengan cara ini Anda tidak lagi menuliskan instruksi pemrograman

Lebih terperinci

FILE URUT (Sequential File)

FILE URUT (Sequential File) FILE URUT (Sequential File) 1. PENDAHULUAN Organisasi file secara urut memungkinkan pengaksesan record di file secara berurutan Ada 2 macam organisasi file secara urut : 1. Bentuk organisasi SEQUENTIAL

Lebih terperinci

OTOMASI TAGIHAN LISTRIK DENGAN CITRA DIGITAL. Abstrak

OTOMASI TAGIHAN LISTRIK DENGAN CITRA DIGITAL. Abstrak OTOMASI TAGIHAN LISTRIK DENGAN CITRA DIGITAL Titik Rahmawati Program Studi Manajemen Informatika STMIK Jenderal Achmad Yani Yogyakarta rahmawati.titik@gmail.com Abstrak Perusahaan listrik negara (PLN)

Lebih terperinci

MODUL GUIDE MATLAB. Gambar 1: Memulai GUIDE. EEPIS-ITS Praktikum Pengolahan Sinyal Digital 1

MODUL GUIDE MATLAB. Gambar 1: Memulai GUIDE. EEPIS-ITS Praktikum Pengolahan Sinyal Digital 1 MODUL GUIDE MATLAB 1. Pendahuluan GUIDE atau GUI builder merupakan sebuah graphical user interface (GUI) yang dibangun dengan obyek grafik seperti tombol (button), kotak teks, slider, menu dan lain-lain.

Lebih terperinci

MODEL KALIBRASI KAMERA UNTUK PENGUKURAN JARAK OBJEK DAN NAVIGASI ROBOT

MODEL KALIBRASI KAMERA UNTUK PENGUKURAN JARAK OBJEK DAN NAVIGASI ROBOT MODEL KALIBRASI KAMERA UNTUK PENGUKURAN JARAK OBJEK DAN NAVIGASI ROBOT Budi Rahmani 1, Hugo Aprilianto 2 Program Studi Teknik Informatika, STMIK Banjarbaru, Kalimantan Selatan 1,2 budirahmani@gmail.com

Lebih terperinci

Problem 1. Implementasi Interface. Diberikan definisi interface BentukDuaDimensi berikut:

Problem 1. Implementasi Interface. Diberikan definisi interface BentukDuaDimensi berikut: Perhatikan permasalahan yang ada pada tutorial ini baik-baik. Permasalahan yang disajikan pada tutorial ini akan menjadi landasan penting untuk mengikuti perkuliahan SDA dan juga mengerjakan tugas lain

Lebih terperinci

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM 3.1 Metode Penelitian Gambar 3.1. Diagram Blok Rancangan Penelitian Metode penelitian yang digunakan meliputi studi kepustakaan dan penelitian laboratorium.

Lebih terperinci

LEMBAR PENJELASAN KEPADA RESPONDEN

LEMBAR PENJELASAN KEPADA RESPONDEN Lampiran 1 LEMBAR PENJELASAN KEPADA RESPONDEN Saya, Ucik Indrawati, S.Kep., Ns., Mahasiswa Magister Keperawatan Universitas Muhammadiyah Yogyakarta (UMY), sedang melaksanakan kegiatan penelitian berjudul

Lebih terperinci

K-Means Clustering. Tim Asprak Metkuan. What is Clustering?

K-Means Clustering. Tim Asprak Metkuan. What is Clustering? K-Means Clustering Tim Asprak Metkuan What is Clustering? Also called unsupervised learning, sometimes called classification by statisticians and sorting by psychologists and segmentation by people in

Lebih terperinci

Mengerti dan memahami pemrograman berbasis object Mengerti dan memahami pembuatan visualisasi untuk interface

Mengerti dan memahami pemrograman berbasis object Mengerti dan memahami pembuatan visualisasi untuk interface PERCOBAAN 9 Dasar Pemograman Visual A. Tujuan Mengerti dan memahami pemrograman berbasis object Mengerti dan memahami pembuatan visualisasi untuk interface B. Teori 1. Mengenal Visual Basic Visual Basic

Lebih terperinci

PRAKTIKUM ISYARAT DAN SISTEM TOPIK 0 TUTORIAL PENGENALAN MATLAB

PRAKTIKUM ISYARAT DAN SISTEM TOPIK 0 TUTORIAL PENGENALAN MATLAB PRAKTIKUM ISYARAT DAN SISTEM TOPIK 0 TUTORIAL PENGENALAN MATLAB A. Tujuan 1. Mahasiswa mengenal lingkungan MATLAB dan mampu menggunakannya. 2. Mahasiswa mampu menggunakan fungsi-fungsi dasar MATLAB yang

Lebih terperinci

Irfan Satria S

Irfan Satria S PENGEMBANGAN APLIKASI BERBASIS WEB YANG INTERAKTIF UNTUK BELAJAR BAHASA PEMROGRAMAN JAVA SECARA ONLINE (STUDI KASUS JURUSAN SISTEM INFORMASI ITS SURABAYA) Irfan Satria S. 5208100101 Latar Belakang Proses

Lebih terperinci

Pengenalan Visual Basic

Pengenalan Visual Basic Pengenalan Visual Basic KETERANGAN : 1. Baris Menu, digunakan untuk memilih tugas-tugas tertentu seperti menyimpan project, membuka project, dll. 2. Main Toolbar, digunakan untuk melakukan tugastugas tertentu

Lebih terperinci

VOLT. Jurnal Ilmiah Pendidikan Teknik Elektro. Journal homepage: jurnal.untirta.ac.id/index.php/volt Vol. 2, No. 1, Oktober 2017, 63-72

VOLT. Jurnal Ilmiah Pendidikan Teknik Elektro. Journal homepage: jurnal.untirta.ac.id/index.php/volt Vol. 2, No. 1, Oktober 2017, 63-72 P-ISSN: 2528-5688 E-ISSN: 2528-5696 VOLT Jurnal Ilmiah Pendidikan Teknik Elektro Journal homepage: jurnal.untirta.ac.id/index.php/volt Vol. 2, No. 1, Oktober 2017, 63-72 IMPLEMENTASI METODE WATERFALL PADA

Lebih terperinci

HANDOUT TIK-1. Icon My Documents. Prosedur membuat folder Prosedur pertama yang dapat dilakukan untuk membuat sebuah folder, antara lain :

HANDOUT TIK-1. Icon My Documents. Prosedur membuat folder Prosedur pertama yang dapat dilakukan untuk membuat sebuah folder, antara lain : HANDOUT TIK-1 SK 4. KD 4.1. MATERI MENGGUNAKAN OPERATING SYSTEM MELAKUKAN MANAJEMEN FILE FOLDER DAN FILE Kompetensi yang akan dicapai : Siswa dapat menerangkan manfaat manajemen file Siswa dapat mengoperasikan

Lebih terperinci

KLASIFIKASI GERAK ATLET JALAN CEPAT MENGGUNAKAN METODE KNN

KLASIFIKASI GERAK ATLET JALAN CEPAT MENGGUNAKAN METODE KNN KLASIFIKASI GERAK ATLET JALAN CEPAT MENGGUNAKAN METODE KNN Langkah-langkah yang dilakukan dalam tahap pengujian ini adalah : Pertama membuka Matlab, lalu membuka file yang ingin diujikan dengan memilih

Lebih terperinci

Program Studi Teknik Mesin S1

Program Studi Teknik Mesin S1 SATUAN ACARA PERKULIAHAN MATA KULIAH : DASAR KOMPUTER APLIKASI TEKNIK MESIN 2A KODE / SKS : IT042215 / 2 1. 1. Penjelasan Materi Perkuliahan 1.1. Penj elasan tentang Silabus 1.2. Pengenalan Bahasa pemrograman

Lebih terperinci

Excel untuk Aplikasi. Gunawan Wibisono. Dibantu penyusunan oleh Yohanes Handoko

Excel untuk Aplikasi. Gunawan Wibisono. Dibantu penyusunan oleh Yohanes Handoko Excel untuk Aplikasi Gunawan Wibisono Dibantu penyusunan oleh Yohanes Handoko Format Cells Cells yang diberi border dan warna Formula Comments Cell kosong Menu Format Cells Penjelasan atas rumus yang dipilih

Lebih terperinci