Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya

Ukuran: px
Mulai penontonan dengan halaman:

Download "Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya"

Transkripsi

1 MA Modul Durasi : Teknologi Digital (61B023) : I / Karakteristik IC TTL dan Penyederhanaan Logika : 165 menit (1 sesi) PENDAHULUAN Teknologi elektronika telah berkembang sangat cepat sehingga hampir semua peralatan manusia sudah menggunakan piranti elektronik. Di bidang elektronika digital dikenal bermacam-macam keluarga (family)/jenis IC digital ASIC (Application Specific Integrated Circuit). Yang paling terkenal dan banyak dipakai saat ini adalah dari keluarga CMOS (Complementary Metal-Oxide Semiconductor). Jenis IC digital yang kita pakai/pelajari adalah dari keluarga TTL (Transistor Transistor Logic). Jenis IC ini pertama kali diperkenalkan oleh perusahaan elektronika Texas Instrument pada tahun Secara teori, keluaran dari suatu gerbang logika dapat dihubungkan kepada gerbang logika berikutnya dalam jumlah yang tak terbatas. Tetapi pada prakteknya, banyaknya gerbang yang dapat dihubungkan dengan keluaran suatu gerbang logika adalah terbatas jumlahnya karena setiap keluaran gerbang logika mempunyai batasan kemampuan menyuplai arus listrik. Pada abad ke-19, seorang ahli Matematika dari Cambridge bernama George Boole menciptakan sebuah aljabar untuk merepresentasikan dan memanipulasi ekspresi yang hanya mempunyai 2 (dua) keadaan, yaitu benar (true) atau salah (false). Aljabar inilah yang dikenal sebagai aljabar Boolean yang dipakai sampai saat ini. TUJUAN Setelah melakukan kerja labotaorium ini, mahasiswa diharapkan mampu: 1. Mengetahui/mengidentifikasi karakteristik/fungsi dari berbagai IC TTL gerbang logika. 2. Mengidentifikasi dan mengukur beberapa parameter penting IC TTL. 3. Mengaplikasikan metode penyederhanaan logika. 4. Mengimplementasikan metode penyederhanaan menggunakan IC TTL (hardware). PERALATAN Peralatan pendukung dan komponen yang dipergunakan untuk melakukan kerja laboratorium modul ini adalah: 1. Digital Trainer. 2. Digital Multimeter. Laboratorium Halaman 1 dari 5

2 3. DC Power Supply. 4. Project Board. 5. IC TTL gerbang logika: 7400, 7402, 7404, 7408, 7432, dan Kawat penghubung (jumper). 7. IC remover. TUGAS PENDAHULUAN 1. Apakah yang disebut dengan Fan-in dan Fan-out itu? 2. Apakah yang disebut dengan efek pembebanan itu? Dan apa pengaruhnya pada suatu rangkaian? 3. Fungsi logika apa yang diimplementasikan (Gerbang logika) pada IC TTL 7400, 7402, 7404, 7408, 7432, Buatlah rangkaian yang mengimplementasikan fungsi Boolean POS (Product of Sum) F(P,Q,R) = ΠM(0,4,6) dengan menggunakan gerbang-gerbang logika dasar dan kemudian buatlah tabel kebenarannya. KERJA LABORATORIUM 1. Mengidentifikasi karakteristik IC TTL gerbang logika: 7400, 7402, 7404, 7408, 7432, (perkiraan waktu 35 menit) a. Pasang IC gerbang logika pada project board. c. Pilihlah salah satu gerbang untuk setiap IC, hubungkanlah masukan gerbang tersebut ke bagian switch (masukan) digital trainer dan hubungkanlah keluaran gerbang tersebut ke salah satu LED di digital trainer. d. Atur switch di digital trainer untuk memberikan semua kemungkinan masukan bagi gerbang logika. Amati keadaan LED untuk setiap kombinasi masukan yang diberikan. e. Catatlah hasil percobaan pada tabel di bawah ini, kemudian tentukanlah fungsi/operator logika apa yang diimplementasikan oleh masing-masing IC tersebut A B Y A B Y B Y Gerbang: Gerbang: Gerbang: Laboratorium Halaman 2 dari 5

3 A B Y A B Y A B Y Gerbang: Gerbang: Gerbang: 2. Mengamati logika keluaran gerbang logika IC TTL saat masukannya diambangkan (floating). (perkiraan waktu 10 menit) a. Pasang IC gerbang logika pada project board. c. Hubungkanlah keluaran gerbang tersebut ke salah satu LED di digital trainer. Masukan gerbang logika tidak dihubungkan/mengambang (floating). d. Catatlah hasil percobaan pada tabel di bawah ini. IC TTL Logika Keluaran 3. Menggambarkan hubungan antara masukan dan keluaran suatu gerbang logika. (perkiraan waktu 50 menit) Gambar 1. Rangkaian penguji masukan dan keluaran gerbang TTL. Laboratorium Halaman 3 dari 5

4 a. Rangkailah sebuah gerbang NAND seperti pada gambar di atas. b. Hubungkanlah kaki supply IC gerbang NAND ke supply di digital trainer c. Hubungkanlah kedua masukan gerbang NAND menjadi satu dan hubungkan masukan gerbang itu ke DC power supply. d. Aturlah tegangan keluaran DC power supply seperti pada tabel di bawah ini. Ukurlah tegangan masukan gerbang NAND ini dengan menggunakan multimeter (voltmeter). e. Ukurlah tegangan pada keluaran gerbang NAND dengan menggunakan multimeter (voltmeter). f. Catatlah hasil percobaan pada tabel di bawah ini. Vin (V) Vout (V) Vin (V) Vout (V) Vin (V) Vout (V) Mengimplementasikan penyederhanaan fungsi logika SOP (Sum of Product). (perkiraan waktu 35 menit) Fungsi Logika: F(P,Q,R) = Σm(1,2,3,5,7). a. Sederhanakan fungsi logika tersebut menggunakan Karnaugh map. b. Gambarlah rangkaian hasil penyederhanaan dari Karnaugh map tersebut. c. Implementasikan rangkaian tersebut menggunakan IC gerbang logika. d. Hubungkanlah kaki supply IC gerbang logika ke supply di digital trainer e. Hubungkanlah masukan rangkaian tersebut ke bagian switch (masukan) digital trainer dan hubungkanlah keluaran rangkaian tersebut ke salah satu LED di digital trainer. f. Atur switch di digital trainer untuk memberikan semua kemungkinan masukan. Amati keadaan LED untuk setiap kombinasi masukan yang diberikan. g. Buatlah tabel untuk mencatat hasil percobaan ini. 5. Mengimplementasikan rangkaian menggunakan gerbang universal NAND atau NOR. (perkiraan waktu 35 menit) a. Implementasikan hasil penyederhanaan fungsi logika di percobaan nomor 4 di atas dengan hanya menggunakan gerbang NAND. (sertakan gambar rangkaian di dalam laporan sementara anda) Laboratorium Halaman 4 dari 5

5 c. Hubungkanlah masukan rangkaian tersebut ke bagian switch (masukan) digital trainer dan hubungkanlah keluaran rangkaian tersebut ke salah satu LED di digital trainer. d. Atur switch di digital trainer untuk memberikan semua kemungkinan masukan. Amati keadaan LED untuk setiap kombinasi masukan yang diberikan. e. Buatlah tabel untuk mencatat hasil percobaan ini. TUGAS DAN PERTANYAAN 1. Sifat / karakteristik gerbang logika apa yang didapatkan apabila kedua masukan dari 2-masukan gerbang NAND dihubungkan menjadi satu? 2. Gambarlah grafik hubungan tegangan masukan-keluaran dari percobaan nomor 3 (tiga). Berdasarkan grafik tersebut, berapakah tegangan masukan LOW (Vin LOW) maksimum dan tegangan masukan HIGH (Vin HIGH) minimum. Hitunglah Noise margin LOW dan noise margin HIGH yang anda dapatkan dari percobaan ini. 3. Diperlakukan sebagai logika apa kondisi mengambang (floating) pada masukan IC TTL? 4. Bandingkan hasil kerja laboratorium nomor 4 (empat) dan tugas pendahuluan nomor 4 (empat), apa yang anda dapatkan? Buktikan hasil kesimpulan anda dengan menggunakan Aljabar Boolean. Laboratorium Halaman 5 dari 5

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

MODUL I TEGANGAN KERJA DAN LOGIKA

MODUL I TEGANGAN KERJA DAN LOGIKA MODUL I TEGANGAN KERJA DAN LOGIKA I. Tujuan instruksional khusus 1. Menyelidiki Tegangan Kerja dari Integrated Cicuit (IC) Digital keluarga TTL. 2. Membuktikan Tegangan Logika IC Digital keluarga TTL II.

Lebih terperinci

LAPORAN PRAKTIKUM RANGKAIAN LOGIKA (TEGANGAN KERJA DAN LOGIKA)

LAPORAN PRAKTIKUM RANGKAIAN LOGIKA (TEGANGAN KERJA DAN LOGIKA) LAPORAN PRAKTIKUM RANGKAIAN LOGIKA (TEGANGAN KERJA DAN LOGIKA) DISUSUN OLEH : NAMA : SALAHUDDIN NIM : 7034007 KELAS : E1 KEMENTERIAN PENDIDIKAN DAN KEBUDAYAAN POLITEKNIK NEGERI LHOKSEUMAWE JURUSAN TEKNIK

Lebih terperinci

PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press

PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press Designing with TTL Integrated Circuits Texas Instruments Inc. McGraw Hill International TTL Data Book Fairchild Semiconductor

Lebih terperinci

GERBANG LOGIKA. A. Tujuan Praktikum. B. Dasar Teori

GERBANG LOGIKA. A. Tujuan Praktikum. B. Dasar Teori GERBANG LOGIKA Tugas Pra Praktikum 1. Apa yang dimaksud dengan gerbang logika? Jelaskan! 2. Ada berapa jenis gerbang logika dasar? Sebutkan dan jelaskan! 3. Sebutkan macam-macam gerbang logika jika ditinjau

Lebih terperinci

IC (Integrated Circuits)

IC (Integrated Circuits) IC (Integrated Circuits) Crystal semikonduktor silikon (chip) yang didalamnya merupakan integritas dari komponen elektronik (representasi rangkaian gerbang logika) Rangkaian didalam IC dihubungkan dengan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3 1. Kompetensi FAKULTAS TEKNIK No. LST/PTI/PTI6205/02 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat

Lebih terperinci

MODUL II GATE GATE LOGIKA

MODUL II GATE GATE LOGIKA MODUL II GTE GTE LOGIK I. Tujuan instruksional khusus. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 28 MODUL III GERBANG LOGIKA & RANGKAIAN KOMBINASIONAL Mata Pelajaran : Teknik Digital Kelas

Lebih terperinci

Modul 3 Modul 4 Modul 5

Modul 3 Modul 4 Modul 5 ix M Tinjauan Mata Kuliah ata kuliah ini mengkaji tentang konsep dan prinsip dasar dari elektronika dan bernilai 3 sks yang terdiri dari 9 modul. Setelah mengikuti mata kuliah ini Anda diharapkan dapat

Lebih terperinci

Gerbang Logika Dasar I

Gerbang Logika Dasar I Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 1 : Gerbang Logika Dasar I 11 Tujuan Mahasiswa mampu mengimplementasikan logika gerbang dasar ke hardware logika dasar 12 Alat & Bahan 1 IC Gerbang Logika

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

1. TEGANGAN KERJA DAN LOGIKA

1. TEGANGAN KERJA DAN LOGIKA 1. TEGANGAN KERJA AN LOGIKA I. Tujuan 1. Menyelidiki Tegangan Kerja dari Integrated Cicuit (IC) igital keluarga TTL. 2. Membuktikan Tegangan Logika IC igital keluarga TTL II. asar Teori Texas Instrument

Lebih terperinci

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a a Jurusan Fisika FMIPA Universitas Tanjungpura Jl. Prof. Dr. H. Hadari Nawawi

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

Y Y A B. Gambar 1.1 Analogi dan simbol Gerbang NOR Tabel 1.1 tabel kebenaran Gerbang NOR A B YOR YNOR

Y Y A B. Gambar 1.1 Analogi dan simbol Gerbang NOR Tabel 1.1 tabel kebenaran Gerbang NOR A B YOR YNOR A. Judul : GERBANG NOR B. Tujuan Kegiatan Belajar 5 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika NOR. 2) Menguji piranti hardware gerbang logika

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

MODUL 04 PENGENALAN TRANSISTOR SEBAGAI SWITCH

MODUL 04 PENGENALAN TRANSISTOR SEBAGAI SWITCH P R O G R A M S T U D I F I S I K A F M I P A I T B LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI MODUL 04 PENGENALAN TRANSISTOR SEBAGAI SWITCH 1 TUJUAN Memahami karakteristik kerja transistor BJT dan FET

Lebih terperinci

X = A Persamaan Fungsi Gambar 1. Operasi NOT

X = A Persamaan Fungsi Gambar 1. Operasi NOT No. LST/EKO/DEL 214/01 Revisi : 01 Tgl : 1 Februari 2010 Hal 1 dari 8 1. Kompetensi Memahami cara kerja gerbang logika dasar dan gerbang perluasan logika dasar 2. Sub Kompetensi - Membuat rangkaian dengan

Lebih terperinci

Gambar 1.1 Analogi dan simbol Gerbang NAND Tabel 1.1 tabel kebenaran Gerbang NAND: A B YAND YNAND

Gambar 1.1 Analogi dan simbol Gerbang NAND Tabel 1.1 tabel kebenaran Gerbang NAND: A B YAND YNAND A. Judul : GERANG NAND. Tujuan Kegiatan elajar 4 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika NAND. 2) Menguji piranti hardware gerbang logika

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika.

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. 2. GTE GTE LOGIK I. Tujuan. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika merupakan dasar pembentuk

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

Gambar 1.1 Analogi dan simbol Gerbang AND. Tabel 1.1 kebenaran Gerbang AND 2 masukan : Masukan Keluaran A B YAND

Gambar 1.1 Analogi dan simbol Gerbang AND. Tabel 1.1 kebenaran Gerbang AND 2 masukan : Masukan Keluaran A B YAND A. Judul : GERBANG AND B. Tujuan Kegiatan Belajar 1 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika AND. 2) Menguji piranti hardware gerbang

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

GERBANG LOGIKA LANJUTAN

GERBANG LOGIKA LANJUTAN 1 GERNG LOGK LNJUTN. Tujuan Kegiatan Praktikum 2 Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika NND. 2) Menguji piranti hardware gerbang logika

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

KEGIATAN BELAJAR 3 B. DASAR TEORI 1. MOSFET

KEGIATAN BELAJAR 3 B. DASAR TEORI 1. MOSFET KEGIATAN BELAJAR 3 A. Tujuan a. Mahasiswa diharapkan dapat memahami karakteristik switching dari mosfet b. Mahasiswa diharapkan dapat menggambarkan kurva karakteristik v-i masukan dan keluaran mosfet.

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP J-K

Jobsheet Praktikum FLIP-FLOP J-K 1 FLIP-FLOP J-K A. Tujuan Kegiatan Praktikum 10 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP J-K 2) Merangkai rangkaian FLIP FLOP J-K B. Dasar

Lebih terperinci

Jobsheet Praktikum ENCODER

Jobsheet Praktikum ENCODER 1 ENCODER A. Tujuan Kegiatan Praktikum 5 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian ENCODER. 2) Mengetahui karakteristik rangkaian ENCODER. B. Dasar Teori Kegiatan

Lebih terperinci

PERTEMUAN 1 SISTEM DIGITAL

PERTEMUAN 1 SISTEM DIGITAL PERTEMUAN 1 SISTEM DIGITAL Sasaran Pertemuan 1 - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER)

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) A. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 8 Bit. 2. Mahasiswa dapat merancang rangkaian ADC

Lebih terperinci

Sasaran Pertemuan 1. Tugas Kelompok

Sasaran Pertemuan 1. Tugas Kelompok Sasaran Pertemuan 1 PERTEMUAN 1 SISTEM DIGITAL - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

Tuhanmemberi. kelasini

Tuhanmemberi. kelasini SemogaTuhan Tuhanmemberi memberiberkah berkah padakelas kelasini ini. 1 RANGKAIAN DIGITAL SILABUS PERKULIAHAN 1. Sistem Digital 2. Sistem Bilangan 3. Gerbang Logika 4. Penyederhanaan Rangkaian Logika (Metode

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN Dosen Pengampu : Shoffin Nahwa Utama, M.T. Disusun Oleh: MUHAMMAD IBRAHIM NIM : 362015611040 FAKULTAS SAINS DAN TEKNOLOGI TEKNIK

Lebih terperinci

Gambar 1.1 Konfigurasi pin IC 74LS138

Gambar 1.1 Konfigurasi pin IC 74LS138 A. Judul : DEMULTIPLEKSER B. Tujuan Kegiatan Belajar 13 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Merangkai rangkaian DEMULTIPLEKSER. 2) Mengetahui cara kerja rangkaian DEMULTIPLEKSER

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB Oleh : Muhamad Ali, M.T Disampaikan pada Pelatihan dan Pendampingan Simulasi Rangkaian Elektronika Digital Berbasis Komputer JURUSAN PENDIDIKAN

Lebih terperinci

Teknologi Implementasi: CMOS dan Tinjauan Praktikal

Teknologi Implementasi: CMOS dan Tinjauan Praktikal Teknologi Implementasi: CMOS dan Tinjauan Praktikal Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

Gambar 1.1 Logic diagram dan logic simbol IC 7476

Gambar 1.1 Logic diagram dan logic simbol IC 7476 A. Judul : FLIP-FLOP JK B. Tujuan Kegiatan Belajar 15 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui cara kerja rangkaian Flip-Flop J-K. 2) Merangkai rangkaian Flip-Flop J-K.

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP S-R

Jobsheet Praktikum FLIP-FLOP S-R 1 FLIP-FLOP S-R A. Tujuan Kegiatan Praktikum 9 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP S-R. 2) Merangkai rangkaian FLIP FLOP S-R. B. Dasar

Lebih terperinci

MEMORI. (aktif LOW). Kaki 9 A0 D A1 D A2 D A3 D A4 D A5 D A6 D A7 D7 23 A8 22 A9 19 A10 21 W 20 G 18 E 6116

MEMORI. (aktif LOW). Kaki 9 A0 D A1 D A2 D A3 D A4 D A5 D A6 D A7 D7 23 A8 22 A9 19 A10 21 W 20 G 18 E 6116 MEMORI A. Tujuan Kegiatan Praktikum : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui prinsip kerja penulisan dan pembacaan data dalam memori.. Mengetahui dan memahami pengalamatan

Lebih terperinci

GERBANG LOGIKA DIGITAL

GERBANG LOGIKA DIGITAL LAPORAN PRAKTIKUM ELEKTRONIKA PERCOBAAN 09 GERBANG LOGIKA DIGITAL Disusun oleh : Kelompok : 1 Nama : Achmad Mushoffa 3.31.11.0.01 Agus Bekti Rohmadi 3.31.11.0.02 Alex Samona 3.31.11.0.03 Angger Eka Samekta

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL

MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 2008 RENCANA PELAKSANAAN PEMBELAJARAN MODUL IV ALJABAR BOOLE & RANGKAIAN

Lebih terperinci

Breadboard Breadboard digunakan untuk membuat dan menguji rangkaian-rangkaian elektronik secara cepat, sebelum finalisasi desain rangkaian dilakukan.

Breadboard Breadboard digunakan untuk membuat dan menguji rangkaian-rangkaian elektronik secara cepat, sebelum finalisasi desain rangkaian dilakukan. Modul 1 Peralatan Peralatan yang akan digunakan pada Praktikum Rangkaian Elektronika adalah: Breadboard Power Supply Multimeter LCR Meter Oscilloscope Function generator Breadboard Breadboard digunakan

Lebih terperinci

BAB I PENDAHULUAN. kecil, tidak seperti para peneliti terdahulu yang ketika membuat perangkat

BAB I PENDAHULUAN. kecil, tidak seperti para peneliti terdahulu yang ketika membuat perangkat BAB I PENDAHULUAN 1.1 LATAR BELAKANG Seiring dengan perkembangan ilmu pengetahuan dan teknologi yang begitu pesat dan kemudian semenjak ditemukannya bahan semikonduktor seluruh perangkat elektronik dapat

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Y = A + B. (a) (b) Gambar 1.1 Analogi dan simbol Gerbang OR Tabel 1.1 kebenaran Gerbang OR: Masukan Keluaran A B YOR

Y = A + B. (a) (b) Gambar 1.1 Analogi dan simbol Gerbang OR Tabel 1.1 kebenaran Gerbang OR: Masukan Keluaran A B YOR A. Judul : GRBANG OR B. Tujuan Kegiatan Belajar 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika OR. 2) Menguji piranti hardware gerbang logika

Lebih terperinci

KONSEP RANGKAIAN GERBANG LOGIKA. Untuk Sekolah Menengah Kejuruan Edisi Tahun 2017

KONSEP RANGKAIAN GERBANG LOGIKA. Untuk Sekolah Menengah Kejuruan Edisi Tahun 2017 B U K U S E R I A L R E V I TA L I S A S I S M K M O D U L E L E K T R O N I K A D A N M E K AT R O N I K A KO N S E P R A N G KA I A N GERBANG LOGIKA O L E H B U D I S U B A R TA 1 Untuk Sekolah Menengah

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8. Kompetensi Memahami hukum aljabar oolean termasuk hukum De Morgan, dan prinsip Sum of Product. Sub Kompetensi 1. Memahami penerapan

Lebih terperinci

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP)

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP) PERCOBN 5. PENYEDERHNN RNGKIN LOGIK (MENGGUNKN K-MP) TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Membuat sebuah rangkaian logika sederhana melalui persamaan Boolean dan Tabel

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 1.1. Metode Penelitian Metode penelitian yang digunakan pada rancang bangun pengukur kecepatan kendaraan menggunakan sensor GMR adalah metode deskriftif dan eksperimen. Melalui

Lebih terperinci

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A Arief Hendra Saptadi Jurusan Teknik Elektro Fakultas Teknik Universitas Muhammadiyah Semarang Jl. Kasipah no 10-12 Semarang

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi komputer telah membuat ruang batas perangkat lunak dan perangkat keras semakin sempit. Komputer sebagai sistem tidak dapat dipahami tanpa memahami

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean II. Tujuan Percobaan 1. Praktikan memahami antara input dan output pada rangkaian logika AND, OR, NOT, XOR, NAND, NOR dan XNOR. 2. Praktikan

Lebih terperinci

Gambar 1.1 Analogi dan simbol Gerbang NOT/INVERTER. Tabel 1.1 tabel kebenaran Gerbang NOT/INVERTER: Masukan Keluaran A

Gambar 1.1 Analogi dan simbol Gerbang NOT/INVERTER. Tabel 1.1 tabel kebenaran Gerbang NOT/INVERTER: Masukan Keluaran A . Judul : GERBNG INVERTER (NOT) B. Tujuan Kegiatan Belajar 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika NOT/INVERTER. 2) Menguji piranti hardware

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal untuk Gerbang Logika Kuliah#6 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

1 DC SWITCH 1.1 TUJUAN

1 DC SWITCH 1.1 TUJUAN 1 DC SWITCH 1.1 TUJUAN 1.Praktikan dapat memahami prinsip dasar saklar elektronik menggunakan transistor. 2.Praktikan dapat memahami prinsip dasar saklar elektronik menggunakan MOSFET. 3.Praktikan dapat

Lebih terperinci

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang BAB I PENDAHULUAN A. Latar Belakang Masalah Gerbang Logika merupakan blok dasar untuk membentuk rangkaian elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang harus kita pelajari

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan Pengertian IC TTL Dan CMOS 9 IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan dari ratusan atau ribuan komponen-komponen lain. Bentuk IC berupa kepingan silikon

Lebih terperinci

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T.

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. LAPORAN PRAKTIKUM Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. Oleh : Kelompok 7 Adhitya Sufarinto (1304927) Fernando

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

Sinyal Logik level dan Famili logik, perubah level

Sinyal Logik level dan Famili logik, perubah level 4 level dan Famili logik, perubah level Tujuan : Setelah mempelajari ini diharapkan. Memahami batasan tegangan yang diberlakukan pada logik 2. Memahami batasan tegangan yang diberlakukan pada logik 0 3.

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

Prasetyo Priadi Versi Dokumen : 4.00 ISBN Lisensi Dokumen:

Prasetyo Priadi  Versi Dokumen : 4.00 ISBN Lisensi Dokumen: Prasetyo Priadi prasetyopriadi@yahoo.co.id www.prasetyolabs.co.cc Versi Dokumen : 4.00 ISBN 0-000-000000-0 Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan

Lebih terperinci

I. Tujuan Praktikum. Mampu menganalisa rangkaian sederhana transistor bipolar.

I. Tujuan Praktikum. Mampu menganalisa rangkaian sederhana transistor bipolar. SRI SUPATMI,S.KOM I. Tujuan Praktikum Mengetahui cara menentukan kaki-kaki transistor menggunakan Ohmmeter Mengetahui karakteristik transistor bipolar. Mampu merancang rangkaian sederhana menggunakan transistor

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

Komponen Komponen elektronika DIODA Dioda Silikon Dan Germanium Dioda adalah komponen semiconductor yang paling sederhana, ia terdiri atas dua

Komponen Komponen elektronika DIODA Dioda Silikon Dan Germanium Dioda adalah komponen semiconductor yang paling sederhana, ia terdiri atas dua Komponen Komponen elektronika DIODA Dioda Silikon Dan Germanium Dioda adalah komponen semiconductor yang paling sederhana, ia terdiri atas dua elektroda yaitu katoda dan anoda. Ujung badan dioda biasanya

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar 28 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar dan Laboratorium Pemodelan Jurusan Fisika Universitas Lampung. Penelitian

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP D

Jobsheet Praktikum FLIP-FLOP D 1 FLIP-FLOP D A. Tujuan Kegiatan Praktikum 11 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP D 2) Merangkai rangkaian FLIP FLOP D B. Dasar Teori

Lebih terperinci

GERBANG LOGIKA. Percobaan 1. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Tujuan :

GERBANG LOGIKA. Percobaan 1. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY   Tujuan : Percobaan 1 GERNG LOGIK Oleh : Sumarna, Jurdik Fisika, FMIP, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Membiasakan mengenali letak dan fungsi pin (kaki) pada IC gerbang logika dasar. 2. Memahami cara

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

Teknik Elektromedik Widya Husada 1

Teknik Elektromedik Widya Husada 1 FORMULIR PENILAIAN PRAKTIKUM Nama NIM Kelompok Praktikum :.. :.. :.. : Teknik Elektronika Terintegrasi No. Percobaan Tanggal Percobaan 1. Penguat Inverting 2. Penguat Non Inverting 3. Komparator 4. Penguat

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

KENDALI MOTOR DC. 3. Mahasiswa memahami pengontrolan arah putar dan kecepatan motor DC menggunakan

KENDALI MOTOR DC. 3. Mahasiswa memahami pengontrolan arah putar dan kecepatan motor DC menggunakan KEGIATAN BELAJAR 7 KENDALI MOTOR DC A. Tujuan 1. Mahasiswa memahami penerapan switching dengan rangkaian H-bridge pada motor DC 2. Mahasiswa memahami pengontrolan arah dan kecepatan motor DC menggunakan

Lebih terperinci

Jobsheet Praktikum DECODER

Jobsheet Praktikum DECODER 1 DECODER A. Tujuan Kegiatan Praktikum 6 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian DECODER. 2) Mengetahui karakteristik rangkaian DECODER. B. Dasar Teori Kegiatan

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 2 (PENGUAT INVERTING) I. TUJUAN Tujuan dari pembuatan modul Penguat Inverting ini adalah: 1. Mahasiswa mengetahui karakteristik rangkaian penguat inverting sebagai

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. Sabran 1*, Muliadi 2 1,2 Dosen PTA FT Universitas Negeri Makassar * sabran_fh66@yahoo.com ABSTRAK Penelitian

Lebih terperinci