LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

Ukuran: px
Mulai penontonan dengan halaman:

Download "LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING"

Transkripsi

1 LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun Zulfikar, S.T., M.Sc. NIDN Hubbul Walidainy, S.T., M.T. NIDN Dibiayai oleh Universitas Syiah Kuala, Kementerian Pendidikan dan Kebudayaan, sesuai dengan Surat Perjanjian Penugasan Dalam Rangka Pelaksanaan Penelitian Hibah Bersaing Tahun Anggaran 2014 Nomor: 498/UN11/S/LK-BOPT/2014 tanggal 26 Mei 2014 UNIVERSITAS SYIAH KUALA NOVEMBER 2014

2 LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun Zulfikar, S.T., M.Sc. NIDN Hubbul Walidainy, S.T., M.T. NIDN Dibiayai oleh Universitas Syiah Kuala, Kementerian Pendidikan dan Kebudayaan, sesuai dengan Surat Perjanjian Penugasan Dalam Rangka Pelaksanaan Penelitian Hibah Bersaing Tahun Anggaran 2014 Nomor: 498/UN11/S/LK-BOPT/2014 tanggal 26 Mei 2014 UNIVERSITAS SYIAH KUALA NOVEMBER 2014

3

4 RINGKASAN Perkembangan teknologi integrated circuit (IC) yang kian pesat dan kebutuhan akan bertambahnya informasi yang dapat disajikan dalam sebuah perangkat komputasi elektronik dewasa ini telah mendorong para peneliti untuk menemukan cara menghemat area yang terpakai oleh rangkaian komputasi dalam sebuah IC. Penelitian ini bertujuan menerapkan metode baru dengan cara reduksi wordlengths untuk menghemat area dari suatu IC guna meningkatkan kinerja dari perangkat komputasi elektronik. Dengan berkurangnya wordlengths, maka area yang dibutuhkan dalam sebuah IC untuk rangkaian komputasi akan semakin kecil. Pada penelitian ini, untuk tahun pertama dipilih rangkaian pembangkit bilangan random jenis berdasarkan algoritma Linear Congruential Generator (LCG) sebagai target untuk diefisiensikan. Rangkaian tersebut dirancang dengan menggunakan blok-blok dasar operasi aritmatika seperti penambah, pengurang dan pengali. Tahapan awal dari teknik reduksi wordlength yang diajukan telah berhasil diaplikasikan pada rangkaian tersebut. Rangkaian pembangkitan bilangan random 8 bit dan teknik perancangannya disajikan secara detail. Hasil simulasi behavior, synthesis, simulasi waktu dan perbandingan penerapan terhadapa beberapa chip FPGA dari Xilinx dipaparkan pada bab 5. Hasil awal dari penelitian ini telah dipublikasikan pada jurnal internasional IJECCE edisi Juli-Agustus Dan hasil lanjutan telah diterima pada seminar internasional ICCEI Hasil lanjutan ini lebih efisien dari rancangan sebelumnya. Dengan demikian penelitian ini telah mencapai tujuan keseluruhan. Keywords: Integrated Circuit, Penghematan Area, Reduksi Wordlengths, VHDL, FPGA, Linear Congruential Generator i

5 PRAKATA Penelitian ini bermaksud untuk menghemat area suatu IC dari perangkat komputasi elektronik dengan harapan kinerja perangkat tersebut semakin meningkat. Penelitian ini memakai menerapkan teknik reduksi/ pengurangan wordlength dari rangkaian pembangkitan bilangan random. Diharapakan area yang dibutuhkan dalam sebuah IC untuk rangkaian bilangan random semakin kecil. Adapun Metode dan tahapan penelitian yang digunakan adalah sebagai berikut: Studi Literatur, mempelajari beberapa rangkaian aritmatika kompleks yang akan dijadikan sasaran penelitian. Implementasi Software, pemodelan rangkaian-rangkaian target ke dalam hardware melalui program VHDL akan dilakukan. Beberapa program simulasi telah dipilih, antara lain Xilinx ISE dan Quartus Altera. Perbandingan, bersama dengan rancangan metode baru, akan disimulasikan juga rangkaian-rangkaian aritmatika konvensional yang telah dipakai saat ini. Jika area dari rangkaian dengan metode baru tidak lebih hemat, maka akan dilakukan pemrograman ulang. Perbandingan akan dilakukan melalui software dari Xilinx dan Altera. Pengembangan Lanjut, setelah diimplementasikan ke FPGA, akan dikaji kemungkinan penghematan lebih lanjut terhadap rangkaian yang dipilih. Jika memungkinkan akan dilakukan dan dimulai pemrograman ulang. Penulis mengucapkan terima kasih yang sebesar-besarnya kepada pihak-pihak yang telah membantu terlaksananya penelitian ini. ii

6 DAFTAR ISI RINGKASAN i PRAKATA ii DAFTAR ISI iii DAFTAR TABEL v DAFTAR GAMBAR vi DAFTAR LAMPIRAN vii BAB I. PENDAHULUAN 1 BAB II. STUDI PUSTAKA VHDL Paket Library IEEE untuk Konversi Bilangan Linear Congruential Generator 4 BAB III. TUJUAN DAN MANFAAT PENELITIAN Tujuan Penelitian Mamfaat Penelitian 5 BAB IV. METODE PENELITIAN 6 BAB V. HASIL YANG DICAPAI Desain Rangkaian LCG Rangkaian Umum dari LCG Reduksi Wordlengths Implementasi dan Analisa Simulasi Behavior Hasil Synthesis Simulasi Waktu Perbandingan Desain LCG Efisien Rangkaian Perbandingan 15 BAB VI. RENCANA TAHAPAN BERIKUTNYA 18 BAB VII. KESIMPULAN DAN SARAN 19 iii

7 DAFTAR PUSTAKA 20 iv

8 DAFTAR TABEL Tabel I. Daftar perintah konversi bilangan antara integer, signed dan unsigned 4 Tabel II. Daftar perintah konversi bilangan antara standard logic vector, signed dan unsigned 4 Tabel III. Perbandingan frekuensi maksimum diantara chip-chip Xilinx 13 Tabel IV. Perbandingan area yang dibutuhkan diantara chip-chip Xilinx 14 Tabel V. Perbandingan area yang diperlukan diantara chip-chip Xilinx 15 Tabel VI. Perbandingan maksimum frekuensi diantara chip-chip Xilinx 16 Tabel VII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain sebelumnya) 16 Tabel VIII. Perhitungan area berdasarkan hasil synthesis untuk modulus 8 bit (desain baru) 16 Tabel IX. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain sebelumnya) 17 Tabel X. Perhitungan area berdasarkan hasil synthesis untuk modulus 16 bit (desain baru) 17 Tabel XI. Perhitungan area berdasarkan hasil synthesis untuk modulus 32 bit (desain sebelumnya) 17 Tabel XII. Perhitungan area berdasarkan hasil synthesis untuk modulus 31 bit (desain baru) 17 v

9 DAFTAR GAMBAR Gambar 4.1 Fishbone diagram metode penelitian 6 Gambar 5.1 Blok diagram operasi LCG 8 Gambar 5.2 Rangkaian umum dari LCG 9 Gambar 5.3 Rangkaian sinyal pengendali untuk rangkaian LCG 9 Gambar 5.4 Reduksi wordlength pada blok pengali 10 Gambar 5.5 Reduksi wordlength pada blok penambah 10 Gambar 5.6 Hasil dari simulasi behavior dengan m=255, seed=7, a=3, c=1 11 Gambar 5.7 Hasil dari simulasi behavior dengan m =2 16-1, seed=7, a=3, c=1 11 Gambar 5.8 Hasil dari simulasi behavior dengan m = , seed=7, a=3, c=1 11 Gambar 5.9 Pegamatan lebih dekat dari simulasi waktu 12 Gambar 5.10 Desain rangkaian yang di ajukan untuk efisiensi area lebih lanjut (n=8) 14 Gambar 5.11 Desain wordlengths pada blok pengali 15 Gambar 5.12 Desain wordlengths pada blok penambah 15 vi

10 DAFTAR LAMPIRAN LAMPIRAN I: BIODATA KETUA TIM PENELITI 21 LAMPIRAN II: BIODATA ANGGOTA TIM PENELITI 24 LAMPIRAN III: Publikasi Artikel pada Jurnal Internasional 26 LAMPIRAN IV: Publikasi Artikel pada Seminar Internasional 32 vii

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

PEMODELAN BILANGAN ACAK DAN PEMBANGKITANNYA. Pemodelan & Simulasi

PEMODELAN BILANGAN ACAK DAN PEMBANGKITANNYA. Pemodelan & Simulasi PEMODELAN BILANGAN ACAK DAN PEMBANGKITANNYA Pemodelan & Simulasi Bilangan Acak Bilangan acak adalah bilangan yang kemunculannya terjadi secara acak. Bilangan acak ini penting untuk keperluan simulasi.

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING PERANCANGAN GENERATOR INDUKSI 1 FASE TEREKSITASI DIRI SEBAGAI PEMBANGKIT LISTRIK TENAGA MIKROHIDRO DI DAERAH TERPENCIL Tahun ke 1 dari rencana 2 tahun Ketua/Anggota

Lebih terperinci

Percobaan Perancangan Fungsi Pembangkit Bilangan Acak Semu serta Analisisnya

Percobaan Perancangan Fungsi Pembangkit Bilangan Acak Semu serta Analisisnya Percobaan Perancangan Fungsi Pembangkit Bilangan Acak Semu serta Analisisnya Athia Saelan (13508029) 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA BAB I PENDAHULUAN I.1 Latar Belakang Teknologi komunikasi wireless saat ini berkembang dengan pesat seiring meningkatnya kebutuhan pengguna terhadap layanan yang cepat dan beragam. Hal ini terlihat dari

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI 5 BAB 2 LANDASAN TEORI 2.1 Linier Congruent Method linear congruent method adalah metode pembangkit bilangan acak yang banyak digunakan dalam program komputer. Model linier dimanfaatkan oleh linear congruent

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB 1 PENDAHULUAN. ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya

BAB 1 PENDAHULUAN. ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan ilmu pengetahuan dan teknologi yang pesat di dunia saat ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya adalah munculnya

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Latar Belakang Industri yang ada saat ini menghhasilkan gas yang berbahaya bagi manusia. Sensor QCM 20 Mhz mempunyai sensitivitas

Lebih terperinci

Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu

Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu Aplikasi Teori Bilangan Bulat dalam Pembangkitan Bilangan Acak Semu Ferdian Thung 13507127 Program Studi Teknik Informatika ITB, Jalan Ganesha 10 Bandung, Jawa Barat, email: if17127@students.if.itb.ac.id

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

MODIFIKASI METODE LINEAR CONGRUENTIAL GENERATOR UNTUK OPTIMALISASI HASIL ACAK

MODIFIKASI METODE LINEAR CONGRUENTIAL GENERATOR UNTUK OPTIMALISASI HASIL ACAK MODIFIKASI METODE LINEAR CONGRUENTIAL GENERATOR UNTUK OPTIMALISASI HASIL ACAK I Made Divya Biantara 1), I Made Sudana 2), Alfa Faridh Suni, Suryono 3), Arimaz Hangga 4) 1,2,3,4) Jurusan Teknik Elektro,

Lebih terperinci

Perancangan Sistem Media Pembelajaran Balita (Game Akez) dengan Metode Linear Congruentials Generator (LCG)

Perancangan Sistem Media Pembelajaran Balita (Game Akez) dengan Metode Linear Congruentials Generator (LCG) Perancangan Sistem Media Pembelajaran Balita (Game Akez) dengan Metode Linear Congruentials Generator (LCG) Devri Suherdi Eresha School IT devrisuherdi10@gmail.com Deliansyah Universitas Islam Sumatera

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

BAB I PENDAHULUAN. didapatkan secara cepat, tepat, dan akurat mempengaruhi segala aspek kehidupan

BAB I PENDAHULUAN. didapatkan secara cepat, tepat, dan akurat mempengaruhi segala aspek kehidupan BAB I PENDAHULUAN 1.1. Latar Belakang Perkembangan teknologi informasi yang sangat pesat di era digital saat ini mempengaruhi kebutuhan pokok manusia akan informasi. Informasi yang bisa didapatkan secara

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR...

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR... DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR... ABSTRAKSI... TAKARIR... DAFTAR ISI... DAFTAR TABEL...

Lebih terperinci

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16 DAFTARISI FIALAMAN JUDUL i LEMBAR PENGESAHAN DOSEN PEMBIMBING h" LEMBAR PENGESAHAN DOSENPENGUJI jij HALAMANPERSEMBAHAN jv HALAMANMOTTO v KATA PENGANTAR V1 ABSTRAKSI viii DAFTARISI ix DAFTARGAMBAR xii DAFTARTABEL

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN III.1. Analisis Game Analisis game merupakan analisis yang dilakukan melalui analisis user dan analisis artikel game sejenis. Analisis user dilakukan dengan mengamati perilaku

Lebih terperinci

APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI

APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2004/2005 APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI Alexander Nicolas 0500577602 Frederic Yolanda 0500586683

Lebih terperinci

PEMBANGKIT BILANGAN ACAK

PEMBANGKIT BILANGAN ACAK PEMBANGKIT BILANGAN ACAK Mata Kuliah Pemodelan & Simulasi Pertemuan Ke- 7 Riani L. JurusanTeknik Informatika Universitas Komputer Indonesia 1 CARA MEMPEROLEH : Pembangkit Bilangan Acak (Random Number Generator)

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB III ANALISIS MASALAH

BAB III ANALISIS MASALAH BAB III ANALISIS MASALAH Bab ini membahas analisis terhadap masalah yang terdapat pada Tugas Akhir ini mencakup bagaimana proses penyisipan dan ekstraksi pesan pada citra GIF menggunakan metode adaptif,

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Ilmu pengetahuan dan teknologi dewasa ini berkembang pesat seiring dengan kemajuan di berbagai bidang. Bidang elektronika dan instrumentasi merupakan bidang yang sangat

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

PERANCANGAN SIMULASI PENGACAKAN SOAL TRYOUT UNTUK MEMBENTUK PAKET SOAL UJIAN NASIONAL MENGGUNAKAN LINEAR CONGRUENT METHOD (LCM)

PERANCANGAN SIMULASI PENGACAKAN SOAL TRYOUT UNTUK MEMBENTUK PAKET SOAL UJIAN NASIONAL MENGGUNAKAN LINEAR CONGRUENT METHOD (LCM) PERANCANGAN SIMULASI PENGACAKAN SOAL TRYOUT UNTUK MEMBENTUK PAKET SOAL UJIAN NASIONAL MENGGUNAKAN LINEAR CONGRUENT METHOD (LCM) Darma Perwira Hasibuan (0911467) Mahasiswa Jurusan Teknik Informatika, STMIK

Lebih terperinci

PERANCANGAN DAN PEMBUATAN SISTEM PENGENDALI LAMPU JARAK JAUH BERBASIS ARDUINO DAN INFRA MERAH LAPORAN TUGAS AKHIR. oleh NURHASANAH NIM:

PERANCANGAN DAN PEMBUATAN SISTEM PENGENDALI LAMPU JARAK JAUH BERBASIS ARDUINO DAN INFRA MERAH LAPORAN TUGAS AKHIR. oleh NURHASANAH NIM: PERANCANGAN DAN PEMBUATAN SISTEM PENGENDALI LAMPU JARAK JAUH BERBASIS ARDUINO DAN INFRA MERAH LAPORAN TUGAS AKHIR Diselesaikan sebagai salah satu syarat untuk mendapatkan gelar Ahli Madya (A.Md) Teknik

Lebih terperinci

PERANCANGAN DAN PEMBUATAN SISTEM OTOMATISASI PERANGKAT ELEKTRONIKA RUMAH BERBASIS ARDUINO

PERANCANGAN DAN PEMBUATAN SISTEM OTOMATISASI PERANGKAT ELEKTRONIKA RUMAH BERBASIS ARDUINO PERANCANGAN DAN PEMBUATAN SISTEM OTOMATISASI PERANGKAT ELEKTRONIKA RUMAH BERBASIS ARDUINO LAPORAN TUGAS AKHIR Diselesaikan sebagai salah satu syarat untuk mendapatkan gelar Ahli Madya (A.Md) Teknik Komputer

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Istilah cryptocurrency akhir-akhir ini (setelah kemunculan bitcoin pada tahun 2009) secara terus menerus menjadi lebih banyak dibicarakan oleh banyak kalangan. Dimulai

Lebih terperinci

PEMBANGKIT BILANGAN ACAK (Random Number Generator)

PEMBANGKIT BILANGAN ACAK (Random Number Generator) PEMBANGKIT BILANGAN ACAK (Random Number Generator) Mata Kuliah Pemodelan & Simulasi Jurusan Teknik Informatika Universitas Komputer Indonesia 1 2 Random Number Generator (1) Cara memperoleh : ZAMAN DAHULU,

Lebih terperinci

BAB I PENDAHULUAN. chip mikrokontroler dengan jenis AVR dari perusahaan Atmel.

BAB I PENDAHULUAN. chip mikrokontroler dengan jenis AVR dari perusahaan Atmel. BAB I PENDAHULUAN 1.1. Latar Belakang Sistem otomasi di Indonesia semakin hari semakin membutuhkan peralatan yang memudahkan segala kegiatan, salah satunya yaitu dalam memudahkan operator mencari area

Lebih terperinci

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection...

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection... DAFTAR ISI HALAMAN PENGESAHAN...iii PERNYATAAN... iv MOTO DAN PERSEMBAHAN... v PRAKATA... vi DAFTAR ISI... vii DAFTAR GAMBAR... xi DAFTAR TABEL... xiv PENGERTIAN DAN SINGKATAN... xv INTISARI... xviii ABSTRACT...

Lebih terperinci

BAB III METODOLOGI PENELITIAN. dalam pembuatan solusi tersebut adalah sebagai berikut: harapan dan memiliki manfaat yang maksimal.

BAB III METODOLOGI PENELITIAN. dalam pembuatan solusi tersebut adalah sebagai berikut: harapan dan memiliki manfaat yang maksimal. BAB III METODOLOGI PENELITIAN Pada bab ini akan menjelaskan tentang tahapan-tahapan yang dilakukan untuk memecahkan masalah. Tahapan tersebut diawali dengan analisa permasalahan yang terjadi dalam Puskesmas

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

Desain Sistem Keamanan Rumah Melalui Penyalaan Peralatan Listrik Berdasarkan Kebiasaan Pemilik Rumah

Desain Sistem Keamanan Rumah Melalui Penyalaan Peralatan Listrik Berdasarkan Kebiasaan Pemilik Rumah Desain Sistem Keamanan Rumah Melalui Penyalaan Peralatan Listrik Berdasarkan Kebiasaan Pemilik Rumah Safwan* 1, Zulfikar* 2, Zulhelmi* 3 *Jurusan Teknik Elektro dan Komputer, Universitas Syiah Kuala Jl.

Lebih terperinci

PERANCANGAN DAN PEMBUATAN SISTEM INFORMASI SLOT PARKIR MENGGUNAKAN VISUAL BASIC BERBASIS ARDUINO UNO

PERANCANGAN DAN PEMBUATAN SISTEM INFORMASI SLOT PARKIR MENGGUNAKAN VISUAL BASIC BERBASIS ARDUINO UNO PERANCANGAN DAN PEMBUATAN SISTEM INFORMASI SLOT PARKIR MENGGUNAKAN VISUAL BASIC BERBASIS ARDUINO UNO LAPORAN TUGAS AKHIR Disusun Sebagai Salah Satu Syarat Untuk Menyelesaikan Pendidikan Diploma 3 oleh

Lebih terperinci

BAB I PENDAHULUAN. melalui ringkasan pemahaman penyusun terhadap persoalan yang dibahas. Hal-hal

BAB I PENDAHULUAN. melalui ringkasan pemahaman penyusun terhadap persoalan yang dibahas. Hal-hal BAB I PENDAHULUAN Bab Pendahuluan akan menjabarkan mengenai garis besar skripsi melalui ringkasan pemahaman penyusun terhadap persoalan yang dibahas. Hal-hal yang akan dijabarkan adalah latar belakang,

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

BAB I PENDAHULUAN. demikian maka dampak buruk akibat kondisi lingkungan yang kurang baik dapat

BAB I PENDAHULUAN. demikian maka dampak buruk akibat kondisi lingkungan yang kurang baik dapat BAB I PENDAHULUAN I.1. Latar Belakang Hal yang merugikan lingkungan banyak terjadi sehingga diperlukan kesadaran dari setiap orang agar lebih peduli terhadap lingkungan. Dengan demikian maka dampak buruk

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB I PENDAHULUAN. 1.1 Latar Belakang Masalah BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Masyarakat sekarang ini sangat tergantung dengan energi listrik. Penggunaan energi listrik sekarang ini dirasa terlalu berlebihan atau bisa dibilang terlalu

Lebih terperinci

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582 ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH Hendra Setiawan 1*, Fahmi Nugraha 1 1 Program Studi Teknik Elektro, Fakultas Teknologi Industri, Universitas Islam Indonesia Jl. Kaliurang km.14.5,

Lebih terperinci

PENGGUNAAN POLINOMIAL UNTUK STREAM KEY GENERATOR PADA ALGORITMA STREAM CIPHERS BERBASIS FEEDBACK SHIFT REGISTER

PENGGUNAAN POLINOMIAL UNTUK STREAM KEY GENERATOR PADA ALGORITMA STREAM CIPHERS BERBASIS FEEDBACK SHIFT REGISTER PENGGUNAAN POLINOMIAL UNTUK STREAM KEY GENERATOR PADA ALGORITMA STREAM CIPHERS BERBASIS FEEDBACK SHIFT REGISTER Arga Dhahana Pramudianto 1, Rino 2 1,2 Sekolah Tinggi Sandi Negara arga.daywalker@gmail.com,

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Para ilmuwan tidak henti-hentinya berusaha untuk menemukan dan merancang alat yang dapat digunakan untuk dapat menyumbangkan kreatifitas, daya fikir serta usaha semaksimal

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Voting merupakan suatu metode untuk menentukan hasil keputusan dalam kehidupan manusia agar dapat menyelesaikan suatu permasalahan. Voting digunakan dari tingkat terkecil

Lebih terperinci

BAB I PENDAHULUAN. dapat mengendalikan peralatan-peralatan elektronik tersebut.

BAB I PENDAHULUAN. dapat mengendalikan peralatan-peralatan elektronik tersebut. BAB I PENDAHULUAN 1.1.Latar Belakang Masalah Sistem pengendalian merupakan hal yang penting di bidang teknologi dan industri. Banyaknya peralatan, terutama peralatan elektronik yang harus dikendalikan

Lebih terperinci

DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL

DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL Iswahyudi Hidayat Departemen Teknik Elektro - Institut Teknologi Telkom Bandung e-mail: isw@stttelkom.ac.id Abstraks

Lebih terperinci

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana computer Semester Genap tahun 2005/2006 KONTROL POSISI PADA MOTOR DC DENGAN FPGA Harry 0500589552 Bunny Diredja 0500593392 Wadi 0500582294

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

BAB I PENDAHULUAN. secara luas untuk berbagai keperluan kendali proses adalah Programmable Logic. memadai mengenai PLC dan dasar penggunaannya.

BAB I PENDAHULUAN. secara luas untuk berbagai keperluan kendali proses adalah Programmable Logic. memadai mengenai PLC dan dasar penggunaannya. BAB I PENDAHULUAN 1 Latar Belakang Pada masa sekarang, sistem kendali di dunia industri sudah dan masih terus mengalami perkembangan yang sangat pesat. Salah satu piranti yang digunakan secara luas untuk

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Tingkat performansi dari suatu sistem pembangkit listrik ditentukan oleh frekuensi output yang dihasilkan. Pada suatu pembangkit listrik yang menggunakan energi renewable

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

PENGONTROL MOTOR SERVO PADA ROBOT EXCAVATOR DAN MAGNETIC GRIPPER MENGGUNAKAN ATMEGA 8535 TUGAS AKHIR

PENGONTROL MOTOR SERVO PADA ROBOT EXCAVATOR DAN MAGNETIC GRIPPER MENGGUNAKAN ATMEGA 8535 TUGAS AKHIR PENGONTROL MOTOR SERVO PADA ROBOT EXCAVATOR DAN MAGNETIC GRIPPER MENGGUNAKAN ATMEGA 8535 TUGAS AKHIR Oleh : Riko Nugraha Sudjadi 06.50.0015 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS KATOLIK

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB I PENDAHULUAN. 1.1 Latar Belakang Masalah BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Seiring berkembangnya kompleksitas kehidupan manusia, menyebabkan karakteristik kehidupan manusia semakin memiliki mobilitas yang tinggi. Yang memungkinkan

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Teknologi robotika saat ini sudah begitu kompleks dengan berbagai macam bentuk dan fungsinya. Pada awal kemunculannya sudah dikenal robot dengan meniru bentuk dan fungsional

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

LAPORAN AKHIR RISET UNGGULAN PERGURUAN TINGGI

LAPORAN AKHIR RISET UNGGULAN PERGURUAN TINGGI LAPORAN AKHIR RISET UNGGULAN PERGURUAN TINGGI PENGEMBANGAN SOFTWARE ANBUSO SEBAGAI SOLUSI ALTERNATIF BAGI GURUU DALAM MELAKUKAN ANALISIS BUTIR SOAL SECARA PRAKTIS DAN APLIKATIF Oleh: Ali Muhson, M.Pd.

Lebih terperinci

BAB III PERANCANGAN SISTEM DAN SIMULASI

BAB III PERANCANGAN SISTEM DAN SIMULASI BAB III PERANCANGAN SISTEM DAN SIMULASI Pada Tugas Akhir ini akan dianalisis sistem Direct Sequence CDMA dengan menggunakan kode penebar yang berbeda-beda dengan simulasi menggunakan program Matlab. Oleh

Lebih terperinci

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler BAB I PENDAHULUAN 1.1 Latar Belakang Pada dewasa ini dunia telekomunikasi berkembang sangat pesat. Banyak transmisi yang sebelumnya menggunakan analog kini beralih ke digital. Salah satu alasan bahwa sistem

Lebih terperinci

ROBO-STORE

ROBO-STORE Sensor Pendengaran Microphone Microphone adalah sebuah transducer yang mengubah sinyal suara menjadi sinyalsinyal listrik sesuai pola suara yang diterima. Sistem elektronik akan merespon sinyalsinyal suara

Lebih terperinci

STUDI DAN IMPLEMENTASI WATERMARKING CITRA DIGITAL DENGAN MENGGUNAKAN FUNGSI HASH

STUDI DAN IMPLEMENTASI WATERMARKING CITRA DIGITAL DENGAN MENGGUNAKAN FUNGSI HASH STUDI DAN IMPLEMENTASI WATERMARKING CITRA DIGITAL DENGAN MENGGUNAKAN FUNGSI HASH Fahmi Program Studi Teknik Informatika, Sekolah Teknik Elektro dan Informatika, Institut Teknologi Bandung Jl. Ganesha No.

Lebih terperinci

Abstrak. Susdarminasari Taini-L2F Halaman 1

Abstrak. Susdarminasari Taini-L2F Halaman 1 Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA TRAFFIC LIGHT DI LABORATORIUM TEKNIK KONTROL OTOMATIK TEKNIK ELEKTRO UNIVERSITAS DIPONEGORO Susdarminasari Taini (L2F009034)

Lebih terperinci

SKRIPSI BILQIS

SKRIPSI BILQIS ANALISIS DAN PERANCANGAN APLIKASI PESAN RAHASIA MENGGUNAKAN ALGORITMA ONE TIME PAD (OTP) DENGAN PEMBANGKIT BILANGAN ACAK LINEAR CONGRUENTIAL GENERATOR (LCG) SKRIPSI BILQIS 081401072 PROGRAM STUDI S1 ILMU

Lebih terperinci

Bab 10 Penyajian Data Integer dan Bilangan Floating Point 10.1 Pendahuluan

Bab 10 Penyajian Data Integer dan Bilangan Floating Point 10.1 Pendahuluan Bab 10 Penyajian Data Integer dan Bilangan Floating Point 10.1 Pendahuluan Komputer menyimpan semua data dan instruksi program dalam bentuk biner tidak ada ketentuan khusus yang dibuat untuk penyimpanan

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAB I PENDAHULUAN. orang untuk berpacu dalam meraih apa yang menjadi tuntutan dari zaman

BAB I PENDAHULUAN. orang untuk berpacu dalam meraih apa yang menjadi tuntutan dari zaman BAB I PENDAHULUAN 1.1 Latar Belakang Perkembangan zaman yang semakin pesat membuka mata sebagian orang untuk berpacu dalam meraih apa yang menjadi tuntutan dari zaman tersebut. Dunia ilmu pengetahuan dan

Lebih terperinci

Pengamanan Data dengan Teknik Steganografi Untuk Mendukung e-government

Pengamanan Data dengan Teknik Steganografi Untuk Mendukung e-government Pengamanan Data dengan Teknik Steganografi Untuk Mendukung e-government N A M A : Ghazali Moenandar Male N R P : 2210206724 DOSEN PEMBIMBING : 1. Dr. Ir. Wirawan, DEA 2. Eko Setijadi, S.T., M.T., Ph.D

Lebih terperinci

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN BAB I PENDAHULUAN 1.1. Latar Belakang Indonesia merupakan negara kepulauan yang sangat luas, membutuhkan suatu sistem navigasi yang handal dan mandiri. Dengan adanya sistem navigasi, akan memudahkan penentuan

Lebih terperinci

PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA

PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA ISSN: 1693-693 93 PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA Joko Purwanto 1, Andi Martanto 2, Tole Sutikno 3 1 LCM Engineering Department, PT Casio Electronic Indonesia Jln.

Lebih terperinci