IMPLEMENTASI FILTER DIGITAL IIR BUTTERWORTH PADA DSP STARTER KIT TMS320C3x

Ukuran: px
Mulai penontonan dengan halaman:

Download "IMPLEMENTASI FILTER DIGITAL IIR BUTTERWORTH PADA DSP STARTER KIT TMS320C3x"

Transkripsi

1 JETri, Volume, Nomor, Februari 003, Halaman 9-0, ISSN IMPLEMENTASI FILTER DIGITAL IIR BUTTERWORTH PADA DSP STARTER KIT TMS30C3x Irda Winarsih, Suhartati Agoes & Robert Wahyudi* Dosen-Dosen Jurusan Teknik Elektro-FTI, Universitas Trisakti Abstract This article converses TMS30C3x DSP Starter Kit (DSK) board utilization as Butterworth infinite impulse response (IIR) digital filter. Specification parameters of the filter can be changed as long as they do not exceed performance limits of the DSK board. The resulted Butterworth filter performance is compared to the theoretical one obtained using Matlab signal processing toolbox. Test result shows that performance of the implemented filter is similar with its theoretical model. Keywords: Butterworth, TMS30C3x DSK, infinite impulse response, digital filter 1. Pendahuluan Filter digital memiliki banyak kelebihan dibandingkan dengan pasangannya filter analog, baik dalam performa yang lebih tinggi dengan transition zone yang lebih kecil, ketahanan, serta fleksibilitas dalam menentukan range kerjanya (Smith, 1997: 37). Karena faktor-faktor ini, filter digital merupakan elemen penting dalam bidang DSP (Digital Signal Processing). Terdapat dua metoda untuk mendisain sebuah filter digital. Metoda pertama dengan menggunakan proses konvolusi antara sinyal input dengan impulse response dari filter yang dikehendaki, filter jenis ini disebut filter FIR (Finite Impulse Response). Metoda kedua adalah dengan proses rekursif, yang merupakan kelanjutan dari metoda konvolusi. Bila dalam proses konvolusi perhitungan dilakukan dengan hanya menggunakan sampel input saja, maka dalam proses rekursif perhitungan dilakukan dengan sampel input yang dijumlahkan dengan sampel output sebelumnya. Hal ini membuat impulse response filter menjadi sangat panjang mendekati titik tak berhingga (infinity), oleh karena itu filter jenis ini disebut filter IIR (Infinite Impulse Response). * Alumni Jurusan Teknik Elektro FTI, Universitas Trisakti

2 JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN Konfigurasi Butterworth adalah salah satu konfigurasi standar dari filter rekursif baik dalam bentuk analog maupun digital. Konfigurasi ini menekankan pada aproksimasi karakteristik lowpass dengan hasil respons yang mendekati titk nol dengan halus dan rata (smooth and flat) (Soliman.Srinath, 1990: 436). Filter Butterworth didefinisikan melalui persamaan magnitude function H(ω) sebagai berikut: 1 1 (1) H( ) N di mana N adalah nilai orde filter. Jelas dari rumus di atas bahwa magnitude function Butterworth adalah fungsi frekuensi () yang menurun secara monoton, dengan nilai maksimumnya dari unity terjadi pada saat = 0. Untuk = 1, nilai magnitude adalah sama dengan 1 untuk semua nilai N. Dengan demikian, filter Butterworth dalam bentuk normal memiliki frekuensi cutoff sebesar 3 db. Gambar 1. menunjukkan plot dari karakteristik magnitude dari filter ini sebagai fungsi frekuensi () untuk beberapa tingkatan orde. Nampak bahwa semakin tinggi tingkatan orde, karakteristik filter Butterworth semakin mendekati filter ideal. Gambar 1. Magnitude response dari filter Butterworth bentuk normal untuk berbagai tingkatan orde 10

3 Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth. DSK TMS30C3x DSK TMS30C3x adalah salah satu dari seri DSP Starter Kit keluaran Texas Instrument. Board DSK TMS30C3x dapat dilihat pada Gambar. beserta skema umum DSK TMS30C3x pada Gambar 3. Perangkat DSK yang berbasis mikroprosessor TMS30C31-50 ini dapat digunakan untuk berbagai macam fungsi DSP, termasuk sebagai sebuah filter digital (Texas instrument, 1996: I-3). Gambar. Board DSK TMS30C3x Gambar. Skema umum DSK TMS30C3x I/O expansion connector Serial port TMS30C31-50 TLC3040 AIC Analog In Analog Out A3 A0 D31 D0 Paralel Port Interface Control Emulation port XDS510 MPSD port Gambar 3. Skema umum dari DSK TMS30C3x 11

4 JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN Komponen-komponen penting yang terdapat dalam kit ini adalah: 1. Mikroprosessor floating-point TMS30C31-50, prosessor ini dilengkapi dengan memori kerja sebesar 16 MWord.. Chip konverter DAC/ADC AIC TLC3040, yang berguna untuk menerima input analog dari sumber (Signal Generator) kemudian merubahnya kedalam bentuk digital 16 bit, dan begitu pula sebaliknya agar hasil filtering dari DSK dapat ditampilkan dalam instrumen pengukur analog (Oscilloscope). Board DSK ini terhubung dengan sebuah mikrokomputer melalui jalur parallel port. Mikrokomputer berfungsi sebagai host yang menyediakan proses assembling dan debugging yang diperlukan dalam mengolah source code yang berisi perintah untuk dijalankan oleh mikroprosessor. 3. Perancangan Filter IIR Terdapat dua buah metoda untuk mendisain sebuah filter digital IIR, yaitu metoda disain secara langsung (direct design) dan metoda disain secara tak langsung (indirect design). Proses direct design memerlukan perhitungan aproksimasi matematis dan akan membutuhkan pemakaian perhitungan differensial yang rumit untuk setiap nilai parameter dari transfer function filter yang dikehendaki. Hal ini membuat persamaan matematis filter tersebut menjadi non-linier dan sukar dipecahkan (Antoniou, 1993: 94). Untuk mendapatkan hasil perhitungan biasanya diperlukan bantuan algoritma metode numerik dengan sebuah komputer. Metoda disain secara tak langsung relatif lebih sederhana dan lebih mudah dilakukan. Metoda ini terbagi dalam dua langkah utama, yaitu: 1. Mendisain secara matematis sebuah filter prototype berupa sebuah filter analog dengan spesifikasi yang diinginkan. Dari filter analog ini kemudian dicari persamaan transfer function analognya H(s).. Transfer function dari filter prototype kemudian ditransformasikan kedalam bentuk diskritnya. Proses transformasi ini dapat menggunakan beberapa macam metoda, seperti impulse-invariant dan billinear transformation. 1

5 Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth 3.1 Disain Model Analog Persamaan umum filter Butterworth yang telah dibahas sebelumnya yang dapat ditulis kembali dalam bentuk: 1 () G( j) 1 N c Dimana parameter ωc yang mewakili frekuensi kritis filter dan parameter N yang menyatakan tingkatan orde. Kemudian ditentukan nilai-nilai yang memenuhi kriteria untuk mendisain sebuah model filter low-pass dengan tingkat penguatan yang cukup dan zona transitional band yang tidak terlalu lebar, seperti: Frekuensi passband = 1000 Hertz Frekuensi stopband = 1900 Hertz Frekuensi sampling = 10 kilohertz Atenuasi stopband minimum = -5 desibell Atenuasi passband maksimum = -0. desibell Untuk memperoleh transfer function H(s), pertama akan dihitung dahulu nilai toleransi magnitude pada stopband dan passband dalam karakteristik monoton Butterwoth: Pada passband, 0Log 10 (1-δ1) = -0. δ1 = 0.03 Pada stopband, 0Log 10 (δ) = -5 δ = Selanjutnya, nilai parameter δ1 dan δ akan digabungkan dengan nilai parameter frekuensi passband (ωp) sebesar 1000 Hz dan nilai parameter ωs diperoleh dari nilai frekuensi stopband ( s ) sebesar 1900 Hz guna mendapatkan tingkatan orde filter yang diperlukan dengan menggunakan persamaan: 13

6 JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN ( 1). log10 1 (1 1 )(1 ) N p log10 s (3) N = Setelah diperoleh tingkatan orde filter yang diperlukan, selanjutnya akan dicari persamaan transfer function dari filter dengan menggunakan persamaan polinom Butterworth. Untuk orde tiga, diperoleh persamaan polinom bentuk normal: 1 H ( s) (4) 3 s s s 1 Setelah mendapatkan nilai kedua parameter δ1 dan δ serta tingkatkan orde filter yang diperlukan, maka dapat dicari nilai frekuensi kritis filter ωc: N p 1 1 (5) c 1 1 ω c = = Hz Dengan mensubsitusikan nilai frekuensi kritis ωc sebesar 15.6 Hz, maka dapat dihitung persamaan transfer function: H ( s) s s 15.6 s H ( s) s 3 505s s

7 Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth 3.. Transformasi Bilinear dengan MatLab Setelah diperoleh transfer function H(s) dari filter prototype, persamaan ini kemudian akan ditransformasikan kedalam bentuk diskritnya melalui salah satu metoda transformasi yang tersedia. Metoda sering digunakan adalah transformasi Bilinear, karena metoda ini dikenal cukup akurat dan bebas gangguan seperti fenomena aliasing (Antoniou, 1993: ). Transformasi Bilinear dapat dilakukan dengan bantuan fungsi butter.m dari Signal Processing Toolbox program MatLab. Bentuk umum function butterm adalah: [A,B] = butter(n,wn, var) Dimana: A, B : menyatakan hasil perhitungan koefisien filter, dalam bentuk B( z) H ( z) (6) A( z) N : menyatakan tingkatan orde filter Wn : menyatakan frekuensi kritis filter var : menyatakan parameter tambahan yang tersedia dalam MatLab Dengan menggunakan nilai-nilai yang telah diperoleh dari filter prototype, maka dapat diperoleh nilai-nilai koefisien transfer function H(z), yaitu : H Y( z) X ( z) z 0.015z 0.005z 1.19z 1.715z z 1 3 ( z) 1 3 Dari persamaan diatas dapat digambarkan diagram representasi rekursif filter seperti Gambar 4 pada halaman berikut Pemrograman pada DSK TMS30C3x Board DSK TMS30C31-50 menyediakan software assembler dan debugger guna mengolah kode program dari pengguna agar dapat menjalankan fungsi-fungsi yang dikehendaki. Agar board DSK ini dapat 15

8 JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN mengimplementasikan sebuah filter digital Butterworth, maka perlu dibuat terlebih dahulu kode program dalam bahasa assembly keluaran Texas Instrument yang memuat langkah-langkah proses filtering tersebut. X(n) Y(n) Z -1 Z Z -1 Z Z -1 Z Gambar 4. Struktur representasi rekursif persamaan digital filter Kode assembly ini kemudian akan dikompilasi dengan menggunakan software C3x DSP Starter Kit Assembler rev Hasilnya berupa sebuah output file dengan ekstensi dsk. File dsk inilah yang kemudian diproses oleh debugger agar dapat digunakan oleh prosessor TMS30C31-50 dalam melakukan proses Butterworth filtering yang dikehendaki. Proses disain program utama dapat dibagi menjadi beberapa langkah atau prosedur, yaitu: 1. Prosedur utama program. Pada proses ini akan dilakukan proses perhitungan Butterworth filtering dengan menggunakan transfer function H(z) dan sampel sinyal input dari chip AIC. Proses ini menggunakan beberapa metoda pengalamatan dalam TMS30C3x dan registry yang tersedia. Prosedur ini ditulis dalam bahasa assembly Texas Instrument. 16

9 Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth. Prosedur inisialisasi timer prosessor. Prosessor TMS30C31-50 memiliki pin output pewaktu timer 0 yang disebut TLCK0. Pin berfungsi untuk menghubungkan pin master clock (MCLK) dari chip AIC TLC Prosedur inisialisasi port serial 0. Port serial ini berfungsi untuk mengatur komunikasi antara mikroprosessor TMS30C31-50 dengan chip konverter AIC. 4. Prosedur inisialisasi chip AIC TLC3040. Prosedur ini akan mengatur kerja dari konverter ADC/DAC. 5. Rutin interupsi ADC dan DAC. Rutin interupsi ADC bertugas untuk mengambil dan mengkonversikan data integer kedalam format floating point serta menyimpannya pada alamat memori tempat penyimpanan data sampel sinyal input. Sedangkan rutin interupsi DAC bertugas untuk menghitung nilai sinyal keluaran hasil proses Butterworth filtering dan mengkonversikannya kembali kadalam format data serial integer yang siap dikirim ke konektor keluaran. 4. Pengujian Gambar 5. Suasana pengujian proses digital filtering di Laboratorium Telekomunikasi Elektro 17

10 Gain (db) JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN Setelah proses pemrograman utama selesai, hasil dari proses filtering dari DSK dapat ditampilkan pada instrumen pengukur seperti oscilloscope. Sinyal input untuk pengujian ini diperoleh dari sebuah Signal generator dengan ditambahkan beban standar audio sebesar 3 Ω.. Hasil dari proses filtering pada DSK kemudian dibandingkan dengan simulasi dengan program Signal Processing Toolbox dari program MatLab untuk filter digital Butterworth dengan spesifikasi yang serupa. Simulasi dari program MatLab ini dianggap sebagai model filter ideal. Grafik dari hasil perbandingan dapat dilihat pada Gambar 6. dan Gambar 7., dengan warna merah menandakan hasil filter dari DSK, dan warna biru menandakan filter hasil simulasi MatLab. +5 Gain Hasil (db) Gain Hasil (db) Frekuensi (Hz) Gambar 6. Grafik Gain hasil proses digital filtering 18

11 Gain (db) Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth 5 Grafik Perbandingan Gain Target dan Gain Hasil Terhadap Frekuensi Gain Gain Frekuensi (Hz) Gambar 7. Grafik Perbandingan hasil proses filtering dari DSK dengan simulasi MatLab 5. Kesimpulan Seperti yang dapat diperhatikan dari grafik hasil pengujian, proses digital filtering dari DSK TMS30C3x sesuai dengan filter hasil simulasi dari program MatLab dengan spesifikasi: Frekuensi passband = 1000 Hertz Frekuensi stopband = 1900 Hertz Frekuensi sampling = 10 kilohertz Atenuasi stopband minimum = -5 desibell Atenuasi passband maksimum = 0. desibell 19

12 JETri, Tahun Volume, Nomor, Februari 003, Halaman 9-0, ISSN Daftar Pustaka 1. Antoniou, Andreas Digital Filters: Analysis, Design, and Applications Singapore: McGraw-Hill Inc.. Smith, Steven W The Scientist and Engineer s Guide to Digital Signal Processing. California, USA: California Technical Publishing. 3. Soliman, Samir S. dan Mandyam D. Srinath Continuous and Discrete Signals and Systems. New Jersey, USA: Prentice-Hall Inc. 4. Texas Instruments TMS30C3x DSP Starter Kit User s Guide. Owensville, Missouri, USA: Custom Printing Company. 0

ANALISIS PERFORMANSI FILTER DIGITAL IIR DARI PROTOTYPE BUTTERWORTH DAN CHEBYSHEV 1

ANALISIS PERFORMANSI FILTER DIGITAL IIR DARI PROTOTYPE BUTTERWORTH DAN CHEBYSHEV 1 Jurnal Reaksi (Journal of Science and Technology) ANALISIS PERFORMANSI FILTER DIGITAL IIR DARI PROTOTYPE BUTTERWORTH DAN CHEBYSHEV Raisah Hayati Staf Pengajar Jurusan Teknik Elektro Politeknik Negeri Lhokseumawe

Lebih terperinci

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713 IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713 INFINITE IMPULSE RESPONSE (IIR) FILTER IMPLEMENTATION WITH BUTTERWORTH AND CHEBYSHEV

Lebih terperinci

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713 IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON BUTTERWORTH DAN CHEBYSHEV MENGGUNAKAN DSK TMS320C6713 INFINITE IMPULSE RESPONSE (IIR) FILTER IMPLEMENTATION WITH BUTTERWORTH AND CHEBYSHEV

Lebih terperinci

SIMULASI HASIL PERANCANGAN LPF (LOW PASS FILTER) DIGITAL MENGGUNAKAN PROTOTIP FILTER ANALOG BUTTERWORTH

SIMULASI HASIL PERANCANGAN LPF (LOW PASS FILTER) DIGITAL MENGGUNAKAN PROTOTIP FILTER ANALOG BUTTERWORTH Simulasi Hasil Perancangan LPF (Low Pass Filter) Digital....Hanafi SIMULASI HASIL PERANCANGAN LPF (LOW PASS FILTER) DIGITAL MENGGUNAKAN PROTOTIP FILTER ANALOG BUTTERWORTH Hanafi Dosen Jurusan Teknik Elektro

Lebih terperinci

Implementasi Filter FIR secara Real Time pada TMS 32C5402

Implementasi Filter FIR secara Real Time pada TMS 32C5402 Implementasi Filter FIR secara Real Time pada TMS 32C5402 Oleh: Tri Budi Santoso, Hary Octavianto, Titon Dutono E-mail: tribudi@eepis-its.edu Laboratorium Sinyal, Politeknik Elektronika Negeri Surabaya

Lebih terperinci

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713

IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713 IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713 IMPLEMENTATION OF INFINTE IMPULSE RESPONSE (IIR) FILTER WITH BESSEL AND ELLIPTIC RESPONSE

Lebih terperinci

Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Blackman pada DSP TMS320C6711

Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Blackman pada DSP TMS320C6711 Gunawan Ariyanto, Implementasi Filter Digital FIR Metode Penjendalaan Blackman pada DSP TMS320C6711 Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Blackman pada DSP TMS320C6711

Lebih terperinci

Gambar 2.1 Perangkat UniTrain-I dan MCLS-modular yang digunakan dalam Digital Signal Processing (Lucas-Nulle, 2012)

Gambar 2.1 Perangkat UniTrain-I dan MCLS-modular yang digunakan dalam Digital Signal Processing (Lucas-Nulle, 2012) BAB II TINJAUAN PUSTAKA 2.1 Digital Signal Processing Pada masa sekarang ini, pengolahan sinyal secara digital yang merupakan alternatif dalam pengolahan sinyal analog telah diterapkan begitu luas. Dari

Lebih terperinci

Implementasi Filter Digital Infinite Impulse Response pada DSP TMS320C6711

Implementasi Filter Digital Infinite Impulse Response pada DSP TMS320C6711 Nurgiyatna, Implementasi Filter Digital Infinite Impulse Response pada DSP TMS320C6711 Implementasi Filter Digital Infinite Impulse Response pada DSP TMS320C6711 Nurgiyatna, Gunawan Ariyanto, Heru Supriyono

Lebih terperinci

Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Hamming pada DSP

Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Hamming pada DSP Implementasi Filter Digital Finite Impulse Response Metode Penjendelaan Hamming pada DSP Endah Sudarmilah, Gunawan Ariyanto, Heru Supriyono Teknik Elektro Universitas Muhammadiyah Surakarta Abstrak Filter

Lebih terperinci

PERANCANGAN DAN SIMULASI LOW PASS FINITE IMPULSE RESPONSE DENGAN METODE WINDOWING

PERANCANGAN DAN SIMULASI LOW PASS FINITE IMPULSE RESPONSE DENGAN METODE WINDOWING PERANCANGAN DAN SIMULASI LOW PASS FINITE IMPULSE RESPONSE DENGAN METODE WINDOWING Irmawan, S.Si, MT Jurusan Teknik Elektro Fakultas Teknik Universitas Sriwijaya ABSTRAK Filter digital adalah suatu algoritma

Lebih terperinci

Adaptive IIR Filter Untuk Active Noise Controller Menggunakan Prosesor Sinyal Digital TMS320C542

Adaptive IIR Filter Untuk Active Noise Controller Menggunakan Prosesor Sinyal Digital TMS320C542 Adaptive IIR Filter Untuk Active Noise Controller Menggunakan Prosesor Sinyal Digital TMS320C542 Endra Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara Jl K.H. Syahdan No. 9,

Lebih terperinci

Filter digital adalah suatu piranti yang sangat dibutuhkan oleh sistem-sistem

Filter digital adalah suatu piranti yang sangat dibutuhkan oleh sistem-sistem IMPLEMENTASI FILTER DIGITAL INFINITE IMPULSE RESPONSE PADA DSP TMS320C6711 FILTER DIGITAL INFINITE IMPULSE IMPLEMENTATION IN DSP TMS320C6711) Nurgiyatna, Gunawan Ariyanto, dan Heru Supriyono Jurusan Teknik

Lebih terperinci

Perancangan dan Implementasi Percobaan Pengolahan Sinyal Digital Secara Online

Perancangan dan Implementasi Percobaan Pengolahan Sinyal Digital Secara Online 1 Perancangan dan Implementasi Percobaan Pengolahan Sinyal Digital Secara Online Clara Sergian Swaritantika, Yusuf Bilfaqih, Josaphat Pramudijanto Teknik Elektro, Fakultas Teknologi Industri, Institut

Lebih terperinci

DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713 IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR)

DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713 IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) IMPLEMENTASI FILTER INFINITE IMPULSE RESPONSE (IIR) DENGAN RESPON ELLIPTIC DAN BESSEL MENGGUNAKAN DSK TMS320C6713 IMPLEMENTATION OF INFINTE IMPULSE RESPONSE (IIR) FILTER WITH BESSEL AND ELLIPTIC RESPONSEE

Lebih terperinci

IMPLEMENTASI MODULASI DAN DEMODULASI GMSK PADA DSK TMS320C6416T

IMPLEMENTASI MODULASI DAN DEMODULASI GMSK PADA DSK TMS320C6416T IMPLEMENTASI MODULASI DAN DEMODULASI GMSK PADA DSK TMS320C6416T 22 11 106 032 ADITYA SUKMANA Pembimbing 1 Pembimbing 2 : Dr. Ir. Suwadi, M.T : Ir. Titiek Suryani, M.T Latar Belakang 1 2 1 1 Mempelajari

Lebih terperinci

BAB VI FILTER DIGITAL

BAB VI FILTER DIGITAL BAB VI FILTER DIGITAL BAB VI FILTER DIGITAL Filter atau tapis adalah suatu sistem yang berfungsi untuk menyaring sinyal, sebagian sinyal akan dibiarkan lewat, sebagian yang lain akan akan ditahan. Filter

Lebih terperinci

Implementasi Encoder dan Decoder Cyclic Redundancy Check Pada TMS320C6416T

Implementasi Encoder dan Decoder Cyclic Redundancy Check Pada TMS320C6416T JURNAL TEKNIK POMITS Vol. 3, No. 1, (2014) ISSN: 2337-3539 (2301-9271 Print) A-92 Implementasi Encoder dan Decoder Cyclic Redundancy Check Pada Grace Natalia, Suwadi, dan Titiek Suryani Jurusan Teknik

Lebih terperinci

PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM]

PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM] PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM] Achmad Chusnul Khuluqi *), Achmad Hidayatno, Darjat Jurusan Teknik Elektro Fakultas Teknik Universitas Diponegoro Jl.Prof.Soedharto,

Lebih terperinci

Implementasi Filter Finite Impulse Response (FIR) Window Hamming dan Blackman menggunakan DSK TMS320C6713

Implementasi Filter Finite Impulse Response (FIR) Window Hamming dan Blackman menggunakan DSK TMS320C6713 Jurnal ELKOMIKA Vol. 4 No. 1 Halaman 16-3 ISSN (p): 2338-8323 Januari - Juni 216 ISSN (e): 2459-9638 Implementasi Filter Finite Impulse Response (FIR) Window Hamming dan Blackman menggunakan DSK TMS32C6713

Lebih terperinci

Implementasi Real Time Digital Audio Equalizer 4 Band menggunakan DSK TMS320C6713

Implementasi Real Time Digital Audio Equalizer 4 Band menggunakan DSK TMS320C6713 Implementasi Real Time Digital Audio Equalizer 4 Band menggunakan DSK TMS320C6713 Era Dwi Febrianti 1, Miftahul Huda 2 Politeknik Elektronika Negeri Surabaya Institut Teknologi Sepuluh Nopember(ITS) Surabaya

Lebih terperinci

BAB VI FILTER DIGITAL

BAB VI FILTER DIGITAL BAB VI FILTER DIGITAL Filter atau tapis adalah suatu sistem yang berfungsi untuk menyaring sinyal, sebagian sinyal akan dibiarkan lewat, sebagian yang lain akan akan ditahan. Filter yang sering digunakan

Lebih terperinci

Implementasi Filter IIR secara Real Time pada TMS 32C5402

Implementasi Filter IIR secara Real Time pada TMS 32C5402 Implementasi Filter IIR secara Real Time pada TMS 32C5402 Oleh: Tri Budi Santoso, Hary Octavianto, Titon Dutono E-mail: tribudi@eepis-its.edu Laboratorium Sinyal, Politeknik Elektronika Negeri Surabaya

Lebih terperinci

BAB I PENDAHULUAN. resistor, kapasitor ataupun op-amp untuk menghasilkan rangkaian filter. Filter analog

BAB I PENDAHULUAN. resistor, kapasitor ataupun op-amp untuk menghasilkan rangkaian filter. Filter analog BAB I PENDAHULUAN 1.1 Latar Belakang Filter merupakan suatu perangkat yang menghilangkan bagian dari sinyal yang tidak di inginkan. Filter digunakan untuk menglewatkan atau meredam sinyal yang di inginkan

Lebih terperinci

DTG2D3 ELEKTRONIKA TELEKOMUNIKASI FILTER ANALOG. By : Dwi Andi Nurmantris

DTG2D3 ELEKTRONIKA TELEKOMUNIKASI FILTER ANALOG. By : Dwi Andi Nurmantris DTG2D3 ELEKTRONIKA TELEKOMUNIKASI FILTER ANALOG By : Dwi Andi Nurmantris Ruang Lingkup Materi RANGKAIAN RESONATOR PENDAHULUAN LOW PASS FILTER HIGH PASS FILTER BAND PASS FILTER BAND STOP FILTER RANGKAIAN

Lebih terperinci

LOGO IMPLEMENTASI MODULASI DAN DEMODULASI M-ARY QAM PADA DSK TMS320C6416T

LOGO IMPLEMENTASI MODULASI DAN DEMODULASI M-ARY QAM PADA DSK TMS320C6416T IMPLEMENTASI MODULASI DAN DEMODULASI M-ARY QAM PADA DSK TMS320C6416T 2210106006 ANGGA YUDA PRASETYA Pembimbing 1 Pembimbing 2 : Dr. Ir. Suwadi, MT : Ir. Titik Suryani, MT Latar Belakang 1 2 Perkembangan

Lebih terperinci

MODUL 5 FILTER FIR DAN WINDOW

MODUL 5 FILTER FIR DAN WINDOW MODUL 5 FILTER FIR DAN WINDOW I. Tugas Pendahuluan Perintah atau fungsi pada MATLAB dapat dilihat dan dipelajari dengan online help pada Command window. Contoh ketiklah : help plot. Maka arti dari perintah

Lebih terperinci

Simulasi Perancangan Filter Analog dengan Respon Chebyshev

Simulasi Perancangan Filter Analog dengan Respon Chebyshev Elkomika Teknik Elekro Itenas Vol. 1 No.2 Jurnal Teknik Elektro Juli Desember 2013 Simulasi Perancangan Filter Analog dengan Respon Chebyshev Rustamaji, Arsyad Ramadhan Darlis, Solihin Teknik Elektro Institut

Lebih terperinci

SIMULASI FILTER SALLEN KEY DENGAN SOFTWARE PSPICE

SIMULASI FILTER SALLEN KEY DENGAN SOFTWARE PSPICE JETri, Volume 6, Nomor, Februari 7, Halaman -4, ISSN 4-37 SIMULASI FILTER SALLEN KEY DENGAN SOFTWARE PSPICE Kiki Prawiroredjo Dosen Jurusan Teknik Elektro-FTI, Universitas Trisakti Abstract A Sallen Key

Lebih terperinci

Simulasi Perancangan Filter Analog dengan Respon Butterworth

Simulasi Perancangan Filter Analog dengan Respon Butterworth Jurnal Reka Elkomika 2337-439X Februari 2013 Jurnal Online Institut Teknologi Nasional TeknikElektro Itenas Vol.1 No.3 Simulasi Perancangan Filter Analog dengan Respon Butterworth LEONARD TAMPUBOLON, RUSTAMAJI,

Lebih terperinci

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 Nama : Wito Chandra NRP : 0822081 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

Di dalam perancangan filter-filter digital respons impuls tak terbatas diperlukan transformasi ke filter analog Diperlukan adanya pengetahuan filter

Di dalam perancangan filter-filter digital respons impuls tak terbatas diperlukan transformasi ke filter analog Diperlukan adanya pengetahuan filter FEG2D3 -INW- 206 Di dalam perancangan filter-filter digital respons impuls tak terbatas diperlukan transformasi ke filter analog Diperlukan adanya pengetahuan filter analog yang dapat bertindak sebagai

Lebih terperinci

KULIAH 9 FILTER DIGITAL

KULIAH 9 FILTER DIGITAL KULIAH 9 FILTER DIGITAL TEKNIK PENGOLAHAN ISYARAT DIGITAL Kuliah 9 Filter Digital Indah Susilawati, S.T., M.Eng. Program Studi Teknik Elektro Program Studi Teknik Informatika Fakultas Teknik dan Ilmu

Lebih terperinci

BAB I PENDAHULUAN. menggunakan rangkaian elektronika yang terdiri dari komponen-komponen seperti

BAB I PENDAHULUAN. menggunakan rangkaian elektronika yang terdiri dari komponen-komponen seperti BAB I PENDAHULUAN 1.1 Latar Belakang Filter merupakan suatu rangkaian yang berfungsi untuk melewatkan sinyal frekuensi yang diinginkan dan menahan sinyal frekuensi yang tidak dikehendaki serta untuk memperkecil

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP)

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) Mata Kuliah : Pengolahan Sinyal Digital (3 SKS) Kode : ELT 2320 Prasyarat : - Program Studi : Teknik Elektronika (program

Lebih terperinci

PERANCANGAN DAN IMPLEMENTASI SISTEM KENDALI BISING AKTIF PADA DSK TMS320C6713 MENGGUNAKAN ALGORITMA ADJOINT-LMS. Muhammad Rizki Anggia

PERANCANGAN DAN IMPLEMENTASI SISTEM KENDALI BISING AKTIF PADA DSK TMS320C6713 MENGGUNAKAN ALGORITMA ADJOINT-LMS. Muhammad Rizki Anggia PERANCANGAN DAN IMPLEMENTASI SISTEM KENDALI BISING AKTIF PADA DSK TMS320C6713 MENGGUNAKAN ALGORITMA ADJOINT-LMS Muhammad Rizki Anggia Sekolah Teknik Elektro dan Informatika, Institut Teknologi Bandung

Lebih terperinci

MODUL I SINYAL WAKTU DISKRIT. X(n) 2 1,7 1,5

MODUL I SINYAL WAKTU DISKRIT. X(n) 2 1,7 1,5 MODUL I SINYAL WAKTU DISKRIT 1.1 Dasar Teori Sinyal waktu diskrit x(n) adalah fungsi dari variabel bebas yaitu suatu integer. secara grafis digambarkan paga gambar dibawah ini. Penting untuk diperhatikan

Lebih terperinci

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Fikri Aulia, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan, S.T., MT. Abstrak FPGA merupakan IC yang dapat

Lebih terperinci

penulisan ini dengan Perancangan Anti-Aliasing Filter Dengan Menggunakan Metode Perhitungan Butterworth. LANDASAN TEORI 2.1 Teori Sampling Teori Sampl

penulisan ini dengan Perancangan Anti-Aliasing Filter Dengan Menggunakan Metode Perhitungan Butterworth. LANDASAN TEORI 2.1 Teori Sampling Teori Sampl PERANCANGAN ANTI-ALIASING FILTER DENGAN MENGGUNAKAN METODE PERHITUNGAN BUTTERWORTH 1 Muhammad Aditya Sajwa 2 Dr. Hamzah Afandi 3 M. Karyadi, ST., MT 1 Email : muhammadaditya8776@yahoo.co.id 2 Email : hamzah@staff.gunadarma.ac.id

Lebih terperinci

Implementasi PCM (Pulse Code Modulation) sebagai Pengolah Sinyal pada Sistem Pendeteksi Musik untuk Aplikasi Robot

Implementasi PCM (Pulse Code Modulation) sebagai Pengolah Sinyal pada Sistem Pendeteksi Musik untuk Aplikasi Robot 1 Implementasi PCM (Pulse Code Modulation) sebagai Pengolah Sinyal pada Sistem Pendeteksi Musik untuk Aplikasi Robot Albar Rizka Bahar, Ir.Wahyu Adi Priyono, M.Sc, Ali Mustofa, ST., MT. Abstrak Dalam kontes

Lebih terperinci

MODUL 4 ANALOG DAN DIGITAL FILTER

MODUL 4 ANALOG DAN DIGITAL FILTER MODUL 4 ANALOG DAN DIGITAL FILTER I. Tugas Pendahuluan Perintah atau fungsi pada MATLAB dapat dilihat dan dipelajari dengan online help pada Command window. Contoh ketiklah : help plot. Maka arti dari

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pengolahan Sinyal Digital : IT012256 / 3 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi Sub Khusus (TIK) 1 Pendahuluan Ruang lingkup Mata Kuliah

Lebih terperinci

2.1. Filter. Gambar 1. Bagian dasar konverter analog ke digital

2.1. Filter. Gambar 1. Bagian dasar konverter analog ke digital 2.1. Filter Filter adalah suatu alat untuk memisahkan sinyal sinyal yang diinginkan dari sinyal-sinyal yang tidak diinginkan. [1]. Filter berkembang dalam pemakaiannya di bidang Elektroteknik menjadi sebagai

Lebih terperinci

III. METODE PENELITIAN. Penelitian dilaksanakan dari bulan Agustus 2012 sampai dengan November 2012

III. METODE PENELITIAN. Penelitian dilaksanakan dari bulan Agustus 2012 sampai dengan November 2012 21 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian dilaksanakan dari bulan Agustus 2012 sampai dengan November 2012 dan dilakukan di Laboratorium Fisika Komputasi Jurusan Fisika Fakultas

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Fakultas/Jurusan : Pengolahan Sinyal Digital / DSP (Digital Signal Processing) : Ilmu Komputer / Teknik Komputer D Minggu 1 Pendahuluan Ruang

Lebih terperinci

Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T

Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T 1 Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T Nanang Arif Haryadi, Suwadi, dan Titiek Suryani Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi Sepuluh Nopember

Lebih terperinci

BAB I PENDAHULUAN. terus berkembang dan diminati banyak orang.

BAB I PENDAHULUAN. terus berkembang dan diminati banyak orang. BAB I PENDAHULUAN 1.1 Latar Belakang Dalam perkembangan awal elektronika, filter analog menjadi pilihan karena relatif murah dan mudah dalam perancanganya. Akan tetapi setelah ditemukan piranti digital

Lebih terperinci

Spread Spectrum (FHSS) pada

Spread Spectrum (FHSS) pada Implementasi Frequency Hopping Spread Spectrum (FHSS) pada DSK TMS30C646T O C K I A D I T YA M 060 - T E L E KO M U N I K A S I M U LT I M E D I A - Pembimbing Dr. Ir. Suwadi, MT Ir. Titik Suryani, MT

Lebih terperinci

IMPLEMENTASI MULTIPATH FADING RAYLEIGH MENGGUNAKAN TMS320C6713

IMPLEMENTASI MULTIPATH FADING RAYLEIGH MENGGUNAKAN TMS320C6713 IMPLEMENTASI MULTIPATH FADING RAYLEIGH MENGGUNAKAN TMS320C6713 Teknik Elektro, Fakultas Teknik, Universitas Negeri Semarang Email: aryobaskoro@mail.unnes.ac.id Abstrak. Karakteristik kanal wireless ditentukan

Lebih terperinci

Rencana Pembelajaran Departemen Teknik Elektro Fakultas Teknologi Elektro INSTITUT TEKNOLOGI SEPULUH NOPEMBER

Rencana Pembelajaran Departemen Teknik Elektro Fakultas Teknologi Elektro INSTITUT TEKNOLOGI SEPULUH NOPEMBER Rencana Pembelajaran Departemen Teknik Elektro Fakultas Teknologi Elektro INSTITUT TEKNOLOGI SEPULUH NOPEMBER 1 Kode & Nama : TE141334 Sinyal dan Sistem 2 Kredit : 3 sks 3 Semester : II (dua) 4 Dosen :

Lebih terperinci

BABI PENDAHULUAN. Pemakaian tiiter sebagai pembatas atau penyaring frekuensi sinyal

BABI PENDAHULUAN. Pemakaian tiiter sebagai pembatas atau penyaring frekuensi sinyal BAB I PENDAHULUAN BABI PENDAHULUAN 1.1. LA TAR BELAKANG Pemakaian tiiter sebagai pembatas atau penyaring frekuensi sinyal sudah menjadi suatu kebutuhan pokok. Berbagai macam metode, sistem dan jenis filter

Lebih terperinci

Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T

Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T JURNAL TEKNIK POMITS Vol. 3, No. 1, (2014) ISSN: 2337-3539 (2301-9271 Print) A-13 Implementasi Direct Sequence Spread Spectrum pada DSK TMS320C6416T Nanang Arif Haryadi, Suwadi, dan Titiek Suryani Jurusan

Lebih terperinci

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN SEKOLAH TINGGI MANAJEMEN INFORMAA KOMPUTER JAKARTA STIK SATUAN ACARA PERKULIAHAN Mata : PENGOLAHAN SINYAL DIGITAL Kode Mata : TK - 17305 Jurusan / Jenjang : S1 SISTEM KOMPUTER Tujuan Instruksional Umum

Lebih terperinci

Makalah Seminar Tugas Akhir PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM]

Makalah Seminar Tugas Akhir PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM] Makalah Seminar Tugas Akhir PERANCANGAN PRESET EQUALIZER PADA DSP STARTER KIT TMS320C6713 BERBASIS SIMULINK [TM] Achmad Chusnul Khuluqi [1], Achmad Hidayatno ST. MT. [2], Darjat ST. MT. [2] Jurusan Teknik

Lebih terperinci

SATUAN ACARA PERKULIAHAN STMIK PARNA RAYA MANADO TAHUN 2010

SATUAN ACARA PERKULIAHAN STMIK PARNA RAYA MANADO TAHUN 2010 TAHUN PERTEMUAN : 1 : 100 MENIT Mahasiswa dapat menjelaskan dan Memahami tentang dasardasar Sinyal dan sistem Definisi sinyal dan sistem Ssinyal waktu kontinu dan diskrit Tipe sinyal khusus: eksonential,

Lebih terperinci

PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK

PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK Muhammad Aswan *), Achmad Hidayatno, and Darjat Jurusan Teknik Elektro, Universitas Diponegoro Jln. Prof. Sudharto,

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

Modul VIII Filter Aktif

Modul VIII Filter Aktif Modul VIII Filter Aktif. Tujuan Praktikum Praktikan dapat mengetahui fungsi dan kegunaan dari sebuah filter. Praktikan dapat mengetahui karakteristik sebuah filter. Praktikan dapat membuat suatu filter

Lebih terperinci

SATUAN ACARA PERKULIAHAN

SATUAN ACARA PERKULIAHAN Topik Bahasan : Konsep sinyal dan sistm Tujuan Pembelajaran Umum : Mahasiswa dapat memaparkan tentang konsep dasar sinyal dan sistem, dasar-dasar sinyal dan sistem. Jumlah : 1 (satu) kali dan memahami

Lebih terperinci

MAKALAH TUGAS AKHIR PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK. Abstrak

MAKALAH TUGAS AKHIR PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK. Abstrak MAKALAH TUGAS AKHIR PEWUJUDAN TAPIS DIGITAL BANDPASS IIR MENGGUNAKAN DSK TMS320C6713TM TM BERBASIS SIMULINK Muhammad Aswan 1), Achmad Hidayatno 2), Darjat 2) Jurusan Teknik Elektro, Universitas Diponegoro

Lebih terperinci

BAB II DASAR TEORI. sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda.

BAB II DASAR TEORI. sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda. BAB II DASAR TEORI. Umum Pada kebanyakan sistem, baik itu elektronik, finansial, maupun sosial sebagian besar masalahnya timbul dikarenakan interface sub-part yang berbeda. Karena sebagian besar sinyal

Lebih terperinci

Sistem Pengaturan Waktu Riil

Sistem Pengaturan Waktu Riil Sistem Pengaturan Waktu iil Teknik Akusisi Data (1) Ir. Jos Pramudijanto, M.Eng. Jurusan Teknik Elektro FTI ITS Telp. 594732 Fax.5931237 Email: jos@elect-eng.its.ac.id Sistem Pengaturan Waktu iil - 2 Proses

Lebih terperinci

PERANCANGAN MODULATOR QPSK DENGAN METODA DDS (DIRECT DIGITAL SYNTHESIS) BERBASIS MIKROKONTROLLER ATMEGA8535 ABSTRAK

PERANCANGAN MODULATOR QPSK DENGAN METODA DDS (DIRECT DIGITAL SYNTHESIS) BERBASIS MIKROKONTROLLER ATMEGA8535 ABSTRAK PERANCANGAN MODULATOR QPSK DENGAN METODA DDS (DIRECT DIGITAL SYNTHESIS) BERBASIS MIKROKONTROLLER ATMEGA8535 Disusun Oleh: Jhon Presley 0322094 Jurusan Teknik Elektro, Fakultas Teknik, Universita Kristen

Lebih terperinci

SOAL UAS PENGOLAHAN SINYAL DIGITAL WADARMAN JAYA TELAUMBANUA

SOAL UAS PENGOLAHAN SINYAL DIGITAL WADARMAN JAYA TELAUMBANUA SOAL UAS PENGOLAHAN SINYAL DIGITAL WADARMAN JAYA TELAUMBANUA 1304405027 JURUSAN TEKNIK ELEKTRO DAN KOMPUTER FAKULTAS TEKNIK UNIVERSITAS UDAYANA JIMBARAN 2015 Rancang Filter low pass digital IIR Butterworth

Lebih terperinci

MODUL 4 PEMFILTERAN PADA SINYAL WICARA

MODUL 4 PEMFILTERAN PADA SINYAL WICARA MODUL 4 PEMFILTERAN PADA SINYAL WICARA I. TUJUAN - Mahasiswa mampu menyusun filter digital dan melakukan pemfilteran pada sinyal wicara II. DASAR TEORI 2.1. Filter IIR Yang perlu diingat disini bahwa infinite

Lebih terperinci

MAKALAH LOW PASS FILTER DAN HIGH PASS FILTER

MAKALAH LOW PASS FILTER DAN HIGH PASS FILTER MAKALAH LOW PASS FILTER DAN HIGH PASS FILTER Disusun oleh : UMI EKA SABRINA (115090309111002) JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS BRAWIJAYA MALANG 2011 PEMBAHASAN 1.1.

Lebih terperinci

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA)

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) 1 IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) Rizki Jumadil Putra, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan ST.,MT. Abstrak Filter FIR

Lebih terperinci

SIMULASI TAPIS FINITE IMPULSE RESPONSE (FIR) DENGAN DISCRETE COSINE TRANSFORM (DCT)

SIMULASI TAPIS FINITE IMPULSE RESPONSE (FIR) DENGAN DISCRETE COSINE TRANSFORM (DCT) SIMULASI TAPIS FINITE IMPULSE RESPONSE (FIR) DENGAN DISCRETE COSINE TRANSFORM () Muh Taufik Setyawan (NIM. LF 97 659) Jurusan Teknik Elektro, Fakultas Teknik, Universitas Diponegoro, Jl. Prof. Sudharto,

Lebih terperinci

Merancang dan Mensimulasi Infinite Impulse Response Chebyshev Low-Pass Digital Filter Menggunakan Perangkat FPGA. Mariza Wijayanti 1a

Merancang dan Mensimulasi Infinite Impulse Response Chebyshev Low-Pass Digital Filter Menggunakan Perangkat FPGA. Mariza Wijayanti 1a Merancang dan Mensimulasi Infinite Impulse Response Chebyshev Low-Pass Digital Filter Menggunakan Perangkat FPGA Mariza Wijayanti 1a 1 Teknik Elektro, Fakultas Teknologi Industri, Universitas Gunadarma,

Lebih terperinci

SIMULASI PENGARUH PENGGUNAAN FILTER BUTTERWORTH PADA MASUKAN SINYAL GETARAN ACAK TERHADAP NILAI RATA-RATA MAGNITUDO

SIMULASI PENGARUH PENGGUNAAN FILTER BUTTERWORTH PADA MASUKAN SINYAL GETARAN ACAK TERHADAP NILAI RATA-RATA MAGNITUDO SIMULASI PENGARUH PENGGUNAAN FILTER BUTTERWORTH PADA MASUKAN SINYAL GETARAN ACAK TERHADAP NILAI RATA-RATA MAGNITUDO Orlando Farcend Ficdy Tumbelaka 1), Jotje Rantung 2), Michael Rembet 3) Jurusan Teknik

Lebih terperinci

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA Disusun oleh : Nama : Ferdian Cahyo Dwiputro dan Erma Triawati Ch, ST., MT NPM : 16409952 Jurusan

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

BAB I PENDAHULUAN. tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk

BAB I PENDAHULUAN. tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk BAB I PENDAHULUAN 1.1 Latar Belakang Tubuh sehat adalah hal yang pasti diinginkan setiap orang. Akan tetapi, tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk mencapainya. Akibatnya,

Lebih terperinci

ANALISIS PENGURANGAN DERAU PADA SINYAL LOUDSPEAKER MENGGUNAKAN FILTER ADAPTIF KALMAN

ANALISIS PENGURANGAN DERAU PADA SINYAL LOUDSPEAKER MENGGUNAKAN FILTER ADAPTIF KALMAN SINGUDA ENSIKOM VOL. 6 NO.3 /Maret 4 ANALISIS PENGUANGAN DEAU PADA SINYAL LOUDSPEAKE MENGGUNAKAN FILTE ADAPTIF KALMAN Fitriani Christhien Simbolon, Arman Sani Konsentrasi Teknik Telekomunikasi, Departemen

Lebih terperinci

BAB II PENCUPLIKAN DAN KUANTISASI

BAB II PENCUPLIKAN DAN KUANTISASI BAB II PENCUPLIKAN DAN KUANTISASI Sebagian besar sinyal-sinyal di alam adalah sinyal analog. Untuk memproses sinyal analog dengan sistem digital, perlu dilakukan proses pengubahan sinyal analog menjadi

Lebih terperinci

Implementasi Real Time Automatic Gain Control (AGC) Menggunakan Board DSK TMS320C6713

Implementasi Real Time Automatic Gain Control (AGC) Menggunakan Board DSK TMS320C6713 Implementasi Real Time Automatic Gain Control (AGC) Menggunakan Board DSK TMS320C6713 Nuur Anugraheni Irianti Suwandi 1, Miftahul Huda 2 1 Mahasiswa Politeknik Elektronika Negeri Surabaya, Jurusan Teknik

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Adaptive Noise Cancellation merupakan salah satu aplikasi filter adaptif yang digunakan untuk meredam noise pada sinyal. Aplikasi filter ini menggunakan algoritma Least

Lebih terperinci

ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO

ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO Irwanto 1, Bambang Sutopo 2 1 Penulis, Mahasiswa S-1 Jurusan Teknik Elektro UGM 2 Dosen Pembimbing, Staf Pengajar di Jurusan Teknik

Lebih terperinci

Design FIR Filter. Oleh: Tri Budi Santoso Group Sinyal, EEPIS-ITS

Design FIR Filter. Oleh: Tri Budi Santoso Group Sinyal, EEPIS-ITS Design FIR Filter Oleh: Tri Budi Santoso Group Sinyal, EEPIS-ITS 1 Filter Digital Sinyal input = x(n) Respon impuls filter = h(n) Sinyal output = y(n) Ouput merupakan konvolusi respon impuls filter dengan

Lebih terperinci

BAB VI INSTRUMEN PENGKONDISI SINYAL

BAB VI INSTRUMEN PENGKONDISI SINYAL BAB VI INSTRUMEN PENGKONDISI SINYAL Pengkondisian sinyal merupakan suatu konversi sinyal menjadi bentuk yang lebih sesuai yang merupakan antarmuka dengan elemen-elemen lain dalam suatu kontrol proses.

Lebih terperinci

MODUL 05 FILTER PASIF PRAKTIKUM ELEKTRONIKA TA 2017/2018

MODUL 05 FILTER PASIF PRAKTIKUM ELEKTRONIKA TA 2017/2018 MODUL 05 FILTER PASIF PRAKTIKUM ELEKTRONIKA TA 2017/2018 LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI PROGRAM STUDI FISIKA FAKULTAS MATEMATIKA DAN PENGETAHUAN ALAM INSTITUT TEKNOLOGI BANDUNG Riwayat Revisi

Lebih terperinci

SATUAN ACARA PERKULIAHAN EK.353 PENGOLAHAN SINYAL DIGITAL

SATUAN ACARA PERKULIAHAN EK.353 PENGOLAHAN SINYAL DIGITAL EK.353 PENGOLAHAN SINYAL DIGITAL Dosen: Ir. Arjuni BP, MT : Sinyal dan Pemrosesan Sinyal Tujuan pembelajaran umum : Para mahasiswa mengetahui tipe-tipe sinyal, pemrosesan dan aplikasinya Jumlah pertemuan

Lebih terperinci

2

2 1 2 PEMBUATAN RANGKAIAN PENGUAT AUDIO 300 WATT STEREO MENGGUNAKAN TEKNOLOGI MIKROELEKTRONIK HIBRID FILM TEBA (HYBRID MICROELECTRONIC THICK FILM) Dr.Agung Darmawansyah,ST.,MT Abstrak Teknologi elektronik

Lebih terperinci

DEKOMPOSISI NILAI SINGULAR DAN DISCRETE FOURIER TRANSFORM UNTUK NOISE FILTERING PADA CITRA DIGITAL

DEKOMPOSISI NILAI SINGULAR DAN DISCRETE FOURIER TRANSFORM UNTUK NOISE FILTERING PADA CITRA DIGITAL Seminar Nasional Aplikasi Teknologi Informasi 9 (SNATI 9) ISSN: 97- Yogyakarta, Juni 9 DEKOMPOSISI NILAI SINGULAR DAN DISCRETE FOURIER TRANSFORM UNTUK NOISE FILTERING PADA CITRA DIGITAL Adiwijaya, D. R.

Lebih terperinci

LAPORAN PRAKTIKUM DSP

LAPORAN PRAKTIKUM DSP LAPORAN PRAKTIKUM DSP MODUL 4 PEMFILTERAN PADA SINYAL WICARA Disusun Oleh : Yuli Yuliantini (121014 7021) Teknik Telekomunikasi - PJJ PENS Akatel Politeknik Negeri Elektro Surabaya Surabaya 2015 22 MODUL

Lebih terperinci

JOBSHEET 9 BAND PASS FILTER

JOBSHEET 9 BAND PASS FILTER JOBSHEET 9 BAND PASS FILTER A. TUJUAN 1. Mahasiswa diharapkan mampu mengerti tentang pengertian, prinsip kerja dan karakteristik band pass filter 2. Mahasiswa dapat merancang, merakit, menguji rangkaian

Lebih terperinci

BAB I PENDAHULUAN. PDF created with FinePrint pdffactory Pro trial version BAB I Pendahuluan

BAB I PENDAHULUAN. PDF created with FinePrint pdffactory Pro trial version  BAB I Pendahuluan 1 BAB I PENDAHULUAN 1.1 Latar Belakang Layanan telekomunikasi yang opimal mengacu pada tingkat kualitas layanan yang diberikan. Layanan telekomunikasi yang berkualitas (QoS), mensyaratkan agar data yang

Lebih terperinci

PENGENALAN SUARA MANUSIA DENGAN MENGGUNAKAN JARINGAN SARAF TIRUAN MODEL PROPAGASI BALIK

PENGENALAN SUARA MANUSIA DENGAN MENGGUNAKAN JARINGAN SARAF TIRUAN MODEL PROPAGASI BALIK ABSTRAK PENGENALAN SUARA MANUSIA DENGAN MENGGUNAKAN Dosen Jurusan Teknik Elektronika Fakultas Teknik Universitas Negeri Makassar Pada penelitian ini dibuat sebuah sistem pengenalan suara manusia dengan

Lebih terperinci

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem BAB III PERANCANGAN 3.1 Prnsip Kerja Sistem Sistem yang akan dibangun, secara garis besar terdiri dari sub-sub sistem yang dikelompokan ke dalam blok-blok seperti terlihat pada blok diagram pada gambar

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II

MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II MODUL PRAKTIKUM RANGKAIAN ELEKRONIKA Bagian II DEPARTEMEN ELEKTRO FAKULTAS TEKNIK UNIVERSITAS INDONESIA DEPOK A. OP-AMP Sebagai Peguat TUJUAN PERCOBAAN PERCOBAAN VII OP-AMP SEBAGAI PENGUAT DAN KOMPARATOR

Lebih terperinci

Implementasi Hybrid DS/FH Spread Spectrum menggunakan DSK TMS302C6416T

Implementasi Hybrid DS/FH Spread Spectrum menggunakan DSK TMS302C6416T JURNAL TEKNIK POMITS Vol. 3, No. 1, (2014) ISSN: 2337-3539 (2301-9271 Print) A-19 Implementasi Hybrid DS/FH Spread Spectrum menggunakan DSK TMS302C6416T Aji Wisnu Laksita, Suwadi, dan Titiek Suryani Jurusan

Lebih terperinci

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 Materi-2 SENSOR DAN TRANSDUSER 52150802 (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 KONSEP AKUISISI DATA DAN KONVERSI PENGERTIAN Akuisisi data adalah pengukuran sinyal elektrik dari transduser dan peralatan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

Implementasi Modulasi dan Demodulasi M-ary QAM pada DSK TMS320C6416T

Implementasi Modulasi dan Demodulasi M-ary QAM pada DSK TMS320C6416T JURNAL TEKNIK POMITS Vol. 1, No. 1, (2013) 1-6 1 Implementasi Modulasi dan Demodulasi M-ary QAM pada DSK TMS320C6416T Angga Yuda Prasetya, Suwadi, dan Titiek Suryani Jurusan Teknik Elektro, Fakultas Teknologi

Lebih terperinci

PROTOTIPE MODUL PENGAMATAN SINYAL DOMAIN WAKTU DAN FREKUENSI SECARA REAL TIME UNTUK PRAKTIKUM PENGOLAHAN SINYAL DIGITAL

PROTOTIPE MODUL PENGAMATAN SINYAL DOMAIN WAKTU DAN FREKUENSI SECARA REAL TIME UNTUK PRAKTIKUM PENGOLAHAN SINYAL DIGITAL ISSN: 1693-6930 143 PROTOTIPE MODUL PENGAMATAN SINYAL DOMAIN WAKTU DAN FREKUENSI SECARA REAL TIME UNTUK PRAKTIKUM PENGOLAHAN SINYAL DIGITAL Tri Budi Santoso, Hary Octavianto, Titon Dutono Laboratorium

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 5 (BAND STOP FILTER)

LAB PTE - 05 (PTEL626) JOBSHEET 5 (BAND STOP FILTER) LB PTE - 05 (PTEL626) JOBSHEET 5 (BND STOP FILTER). TUJUN 1. Mahasiswa dapat mengetahui pengertian, prinsip kerja, dan karakteristik Band Stop Filter 2. Mahasiswa dapat merancang, merakit, dan menguji

Lebih terperinci

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16 DAFTAR ISI HALAMAN JUDUL... i HALAMAN PENGESAHAN... ii PERNYATAAN... iii PRAKATA... iv DAFTAR ISI... vii DAFTAR GAMBAR... x DAFTAR TABEL... xiii DAFTAR ISTILAH DAN SINGKATAN... xiv INTISARI... xv ABSRACT...

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

PENGATURAN KECEPATAN DAN POSISI MOTOR AC 3 PHASA MENGGUNAKAN DT AVR LOW COST MICRO SYSTEM

PENGATURAN KECEPATAN DAN POSISI MOTOR AC 3 PHASA MENGGUNAKAN DT AVR LOW COST MICRO SYSTEM PENGATURAN KECEPATAN DAN POSISI MOTOR AC 3 PHASA MENGGUNAKAN DT AVR LOW COST MICRO SYSTEM Fandy Hartono 1 2203 100 067 Dr. Tri Arief Sardjono, ST. MT. 2-1970 02 12 1995 12 1001 1 Penulis, Mahasiswa S-1

Lebih terperinci

Jl. Tamansari no. 71, Bandung Telp Fax

Jl. Tamansari no. 71, Bandung Telp Fax Perangkat Lunak Sistem Akuisisi Data Menggunakan Delphi 1, Nanda Nagara & 2, Putranto Ilham Yazid 1,2 Pusat Teknologi Nuklir Bahan dan Radiometri, Bandung, Indonesia Jl. Tamansari no. 71, Bandung 40132

Lebih terperinci