Bab III Perancangan Sistem

Ukuran: px
Mulai penontonan dengan halaman:

Download "Bab III Perancangan Sistem"

Transkripsi

1 Bab III Perancangan Sistem Dalam perancangan sistem kendali motor DC ini, terlebih dahulu dilakukan analisis bagian-bagian apa saja yang diperlukan baik hardware maupun software kemudian dirancang bagian-perbagian, kemudian diintegrasikan sebagai sebuah kesatuan sistem kendali fuzzy. II.7 Analisis dan perancangan blok pengendali kecepatan motor DC Secara umum, sistem kendali kecepatan motor DC digambarkan dalam diagram blok berikut ini Set point Error + _ Kendali Plant Kecepatan Umpan balik Gambar III.1. Diagram blok sistem pengendali kecepatan motor DC Set point merupakan masukan kecepatan yang dinginkan, error berupa selisih kecepatan antara set point dengan kecepatan motor yang diukur melalui sensor. Kendali merupakan metoda untuk memberikan kompensasi terhadap error yang kompensasi ini diharapkan dapat menekan nilai error sama dengan 0. Hasil komputasi kendali ini kemudian diberikan ke plant sehingga plant diberi masukan berupa tegangan yang besarnya sesuai dengan hasil komputasi. Untuk efisiensi penggunaan catu daya pengendali, digunakan mikrokontroller sebagai pengolah data dan menggunakan mekanisma PWM sebagai pencatudayaan motor, adapun untuk kepentingan akusisi data digunakan PC yang dihubungkan melalui port serial. Untuk membangun sistem pengendali kecepatan motor DC dengan menggunakan mikrokontroller, diperlukan beberapa bagian baik hardware maupun software. Diagram blok sub sistem-sub sistem yang membangun sistem kendali kecepatan motor DC berbasis mikrokontroller ATMega 8535 digambarkan sebagai berikut: 41

2 ATMega8535 Plant PWM Driver motor Motor DC USART Sistem Pengolah Data ADC Pencacah Pulsa Encoder PC Masukan analog Gambar III.2. Diagram blok sistem pengendali kecepatan motor DC dengan menggunakan ATMega8535 Fungsi masing-masing subsistem di atas sebagai berikut Masukan analog berfungsi untuk memberikan nilai set point dalam bentuk tegangan DC analog Motor sebagai objek yang dikendalikan kecepatannya Encoder sebagai sensor kecepatan Pencacah pulsa berfungsi untuk mengukur kecepatan motor oleh mikrokontroller Sistem pengolah data sebagai bagian utama mikrokontroller untuk melakukan komputasi USART untuk komunikasi serial ke PC PC untuk akusisi data PWM untuk menghasilkan tegangan sesuai dengan besar duty cycle yang ditentukan Driver motor untuk meningkatkan tegangan dan arus dari PWM sebagai catu daya motor 42

3 II.8 Perancangan Hardware Hardware yang dibutuhkan dalam perancangan meliputi: sistem motor DC, driver motor, masukan analog untuk kecepatan referensi (set point) dan beban. II.8.1 Perancangan sistem motor DC Motor DC yang digunakan dalam penelitian ini terdiri 2 buah, 1 sebagai motor yang diatur kecepatannya sedangkan 1 buah motor sebagai beban (generator) yang dihubungkan porosnya menggunakan kopel sehingga kecepatan kedua motor tersebut selalu sama. Sistem tersebut diilustrasikan dalam gambar berikut ini. Kopel Motor DC Beban Gambar III.3. Sistem motor DC Motor DC dilengkapi dengan encoder sebagai sensor kecepatan dengan ketelitian 116 pulsa/rotasi. Jika motor berputar, maka beban berupa generator juga akan berputar sehingga menghasilkan tegangan. II.8.2 Perancangan driver motor Untuk memberikan catu daya ke motor diperlukan driver yang menerima masukan dari mikrokontroller dan keluarannya ke motor DC. Driver motor DC yang dibangun menggunakan IC L298N yang didalamnya merupakan rangkaian bridge yang menerima masukan level TTL dan mampu memberikan arus maksimum 1 ampere dan tegangan maksimum 46 volt. Dengan IC ini maka keluaran dari mikrokontroller dapat langsung diberikan ke pin masukan untuk mengatur polaritas pencatudayaan motor dan sinyal PWM melalui pin enable di L298N. Input driver ini berupa tegangan 0 5 volt sedangkan keluaran berupa tegangan yang besarnya sesuai dengan tegangan referensi dan duty cyclenya. Diagram blok L298N dijelaskan dalam gambar berikut ini. 43

4 Gambar III.4. Diagram blok L298N Ketika diimplementasikan sebagai driver motor DC, konfigurasi pin yang digunakan dalam penelitian ini sebagai berikut. Gambar III.5. Skema rangkaian driver motor Catu daya driver motor terdiri dari catu daya referensi dan catu daya logic (VCC=5V). Keluaran driver motor dari pin 13 dan 14 yang dihubungkan langsung ke motor yang akan dikendalikan. II.8.3 Perancangan masukan kecepatan referensi (set point) Untuk memberikan kecepatan referensi ke sistem pengendali, ada 2 pilihan yang bisa digunakan: Potensiometer, pemberian nilai set point dengan cara memutar potensiometer sedemikian hingga nilai set point sesuai dengan yang diinginkan Input analog dari generator fungsi Rentang nilai tegangan yang diijinkan 0.5 volt sampai dengan 5,5 volt sesuai dengan kemampuan ADC pada ATMega Untuk memilih mode apakah dari 44

5 potensiometer atau dari input analog diberikan switch sehingga ketika menggunakan input analog tidak terganggu oleh tegangan pada potensiometer. Kedua tipe masukan ini kemudian dihubungkan ke Port A.0 sebagai masukan analog. Rangkaian masukan kecepatan referensi dengan menggunakan potensiometer sebagai berikut. Gambar III.6. Skema masukan set point melalui potensiometer Cara kerja pengaturan tegangan sebagai referensi dengan menggunakan potensiometer adalah memfungsikan potensiometer sebagai rangkaian pembagi tegangan antara ground dengan VCC 5 volt. II.8.4 Perancangan pengujian perubahan beban Untuk menguji perubahan beban ketika motor berputar, pada terminal generator (beban) dihubungkan ke beban berupa led sehingga kecepatan motor turun akan tetapi motor masih mampu berputar. II.9 Perancangan Sofware Software yang diperlukan berupa program untuk memasukkan nilai set point ke ADC, modul-modul program mikrokontroller seperti: modul penghitung jumlah pulsa encoder, modul timer untuk pewaktuan penghitung jumlah pulsa encoder, modul PWM untuk membangkitkan tegangan DC yang akan diberikan ke driver motor, modul komputasi logika fuzzy dan modul akusisi data berupa hasil pengukuran ke PC melalui port serial. Mikrokontroller yang digunakan adalah ATMega 8535 sedangkan tools untuk pengembangan programnya menggunakan Code Vision dan bahasa yang digunakan adalah bahasa C. Untuk proses download ke mikrokontroller, penulis menggunakan PonyProg 2000 versi 2.06f Beta. Proses download dengan menggunakan file hexa yang kemudian didownload ke mikrokontroller melalui 45

6 port paralel. Setelah program didownload ke mikrokontroller, mikrokontroller akan berfungsi sesuai dengan program yang kita masukkan. maka II.9.1 Perancangan pembaca masukan set point melalui potensiometer Masukan set point melalui potensiometer yang berfungsi sebagai resistor pembagi tegangan yang dapat diatur dengan memutar potensiometer tersebut. Beda tegangan potensiometer tersebut kemudian diumpankan ke ADC internal ATMega8535. Hasil pembacaan ADC kemudian dibagi 2 agar ketelitian pengaturan set point dengan cara memutar potensiometer lebih tinggi dan hasil tersebut sebesar 127 telah mencukupi kebutuhan untuk memberikan nilai sebagai set point. Variabel sp di atas kemudian digunakan dalam komputasi selanjutnya. II.9.2 Perancangan modul pencacah pulsa encoder Modul pembacaa pulsa encoder memanfaatkan fasilitas timer pada ATMega8535. Modul pencacah pulsa encoder menggunakan timer 0. Cara kerja timer yang difungsikan sebagai pencacah adalah dengan mengkonfigurasikan agar sumber clock dari eksternal yakni dengan mengkonfigurasikan nilai TCCR0. Berikut register pada TCCR0. Gambar III.7. Register pada a TCCR timer 0 Dengan memberikan nilai pada TCCR0 = 0x07 maka timer 0 menggunakan sumber clock eksternal dan counter akan naik ketika ada sinyal naik (rising edge). Secara fisik,, sumber clock timer 0 berasal dari luar yakni melalui PB.0. Encoder yang digunakan dalam penelitian ini mempunyai ketelitian 116 pulsa/rotasi sehingga jika waktu pencuplikan sebesar 20 ms, maka kecepatan motor dalamm rpm dinyatakan v = Jumlah pulsa 1000 x x 60 rpm 116 ts 46

7 dimana v adalah kecepatan motor dalam rpm, dan ts adalah waktu pencuplikan dalam mili detik. Nilai pulsa tersimpan dalam register TCNT0. II.9.3 Perancangan timer Timer digunakan sebagai pewaktu proses pencacahan jumlah pulsa encoder (time sampling), proses pewaktuan ini dengan memanfaatkan timer 2. Konfigurasi timer 2 sebagai timer dengan cara mengkonfigurasi register TCCR2 dan TCNT2. Register TCCR2 adalah register yang digunakan untuk mengkonfigurasi pre skalar timer. Gambar III.8. Register pada TCCR timer 2 Dengan memberikan nilai 0x07 pada TCCR2 berarti preskalar yang dipilih 1024, yakni counter register TCNT2 akan naik setiap 1024 siklus clock. Besar time sampling yang dipilih harus memperhatikan beberapa faktor sehingga didapatkan desain sistem kendali yang optimal, faktor-faktor untuk menentukan penentuan besar time sampling tersebut yaitu: Ketelitian encoder Besar rise time Besar settling time Semakin kecil time sampling menyebabkan ketelitian penentuan nilai set point menjadi berkurang, hal ini dikarenakan pada penghitungan pulsa encoder pada time sampling rendah, kesalahan atau pembulatan 1 pulsa akan signifikan karena pulsa yang terkumpul sedikit, lain halnya pada time sampling yang besar maka jumlah pulsa yang terkumpul banyak sehingga kesalahan atau pembulatan 1 pulsa tidak terlalu signifikan terhadap hasil pengukuran. Jadi, penentuan time sampling merupakan kompromi ketiga variabel besaran yang berpengaruh di atas. Berikut tabel data penentuan besar time sampling dengan ketelitian yang dihasilkan dalam penentuan set point. 47

8 Tabel III.1. Pengaruh time sampling terharap ketelitian set point Time sampling (ms) Ketelitian (rpm) Berdasar pengukuran karakteristik motor dalam domain waktu dengan masukan step pada set point 80 dimana besar rise time yakni waktu yang dibutuhkan untuk mencapai nilai sebesar steady state yang pertama kali kurang lebih sebesar 160ms dan besar nilai settling time sebesar 200ms, maka dipilih time sampling sebesar 20 ms dengan pertimbangan Ketelitian set point sebesar rpm Terdapat 10 kali pengambilan data kecepatan sebelum motor mencapai range steady state. Jika waktu pencuplikan yang diinginkan sebesar 20 ms, maka harus ditentukan mulai dari nilai berapa register TCNT2 bertambah sampai terjadi overflow. Dengan pre skalar 1024 dan clock osilator sebesar berarti bahwa register TCNT2 akan naik setiap 1024 x (1/ ) atau sekitar 9.26 x 10-5 detik, sehingga dibutuhkan 216 siklus untuk mendapatkan nilai timer 20 ms. Karena nilai maksimal TCNT2 256 maka TCNT2 harus diset bahwa nilai terendahnya adalah 240 ( ) atau 0x28. Jadi secara keseluruhan konfigurasi timer 2 adalah: TCCR2=0x07 dan TCNT2=0x28. II.9.4 Perancangan PWM sebagai penghasil tegangan ke motor Untuk mengkonfigurasikan timer 1 sebagai fast PWM 8 bit maka register TCCR1A diberi nilai 0xA1, sedangkan untuk menjalankan pada pre skalar 64, maka TCCR1B diberi nilai 0x0B. Kemudian nilai TCNT1 juga perlu diinisialisasi dengan nilai 0x00 sehingga secara keseluruhan konfiguraisi PWM sebagai 48

9 berikut: TCCR1A=0xA1, TCCR1B=0x0B, TCNT1=0x000. Besar kecilnya duty cycle PWM adalah perbandingan nilai TCNT1 dengan nilai maksimal counter sehingga pada mode fast PWM 8 bit maka duty cycle adalah TCNT1/256. II.9.5 Perancangan komunikasi serial dengan PC Untuk pengamatan data, hasil pengukuran dan komputasi tersebut dikimkan ke PC melalui port serial. Data yang dikirimkan tidak hanya nilai kecepatan saja, bahkan nilai variabel-variabel selama proses komputasi juga dapat ditampilkan ke PC melalui port serial. Dalam komunikasi serial, ada 3 parameter penting yakni: Baudrate. Seting baudrate dengan memberikan nilai pada register UBRR. Rumus untuk menghitung nilai UBRR dinyatakan UBRR = Sehingga jika kita menggunakan baudrate 9600 maka UBRR bernilai 71 atau 0x47. Format data. Seting format data dengan memberikan nilai pada register UCSRC. Jika kita akan menggunakan format 1-bit start, 8-bit data,1-bit stop dan tidak ada paritas, maka register UCSRC diberi nilai 0x86. Enable transmit dan enable receive. Seting ini melalui register UCSRB, jika RXEN dan TXEN diset 1, maka register UCSRB diberi nilai 0x18. Selain parameter dalam program di mikrokontroller, parameter tersebut juga digunakan pada program di sisi PC. Penerimaan data serial tersebut dapat menggunakan Hyper Terminal yang merupakan software bawaan Microsoft Windows. II.9.6 Perancangan logika fuzzy Dalam perancangan kendali fuzzy, ada 3 tahap yakni: fuzzifikasi, inferensi fuzzy dan defuzzyfikasi. Fuzzifikasi Frekuensi kristal x baud rate Meliputi pendefinisian masukan/keluaran sistem dalam format crisp dan batasan nilai-nilainya. Fungsi keanggotaan masukan berupa E dan CE yang dinyatakan dengan E(n) = SP(n) PV (n)

10 CE (n) = E(n) E(n-1) 3.2 Dimana E adalah selisih kecepatan dengan set point, CE adalah perubahan selisih kecepatan antara selisih kecepatan sekarang dengan yang sebelumnya. Nilai E dan CE mempunyai 5 label: NB (negative big), NS (negative small), Z (zero), PS (positive small), dan PB (positive big). Fungsi keanggotaan yang digunakan berupa fungsi segitiga, sehingga persamaan fungsi keanggotaannya u A B C Gambar III.9. Bentuk fungsi keanggotaan E dan CE berupa segitiga Sehingga secara matematis, nilai u yang digunakan untuk menyatakan derajat keanggotaan dinyatakan dengan: u = 0 untuk x<a u = u = x A B A C x C B untuk A x B untuk B x C u = 0 untuk x > C Adapun batas-batas nilai tiap label berdasarkan pengukuran bahwa kecepatan maksimum pada catu daya 12 volt disekitar range 80 pulsa/20ms, maka fungsi keanggotaan dinyatakan sebagai berikut: NB NS Z PS PB Inferensi Fuzzy Gambar III.10. Fungsi keanggotaan E dan CE 50

11 Inferensi fuzzy mengacu pada grafik kinerja sistem kendali domain waktu dengan masukan step response, kemudian dibuatlah if-then rule yang merepresentasikan tujuan yang diinginkan yaitu peningkatan kinerja sistem. Gambar III.11. Kinerja sistem kendali domain waktu dengan masukan step sebagai acuan pembuatan rule Rule utama sistem fuzzy yang dibangun merujuk ke state-state utama yaitu: a, b, c, d, e, f, g, h. Rule if-then tersebut sebagai berikut Tabel III.2. Rule utama sistem No Rule E(Error) CE ( Error) PWM Referensi 1 PB Z PB A 2 Z NB NB B 3 NB Z NB C 4 Z PB PB D 5 PS Z PS E 6 Z NS NS F 7 NS Z NS G 8 Z PS PS H 9 Z Z Z Set point Untuk memperhalus respon diperlukan state diantara state-state referensi utama, sehingga rule tambahan tersebut sebagai berikut Tabel III.3. Rule tambahan untuk memperhalus respon 51

12 No Rule E(Error) CE( Error) PWM Referensi 10 PB NS PS A-B 11 PB NB Z A-B 12 PS NB NS A-B 13 NS NS NS B-C 14 NB PS NS C-D 15 NB PB Z C-D 16 NS PS Z C-D 17 NS PB PS C-D 18 PS PS PS D-E 19 PS NS Z E-F Karena ada 5 nilai dengan 2 variabel masukan, maka total rule sebanyak 25. Rule pelengkap tersebut sebagai berikut Tabel III.4. Rule pelengkap sistem No Rule E(Error) CE( Error) PWM Referensi 20 NB NB NB B-C 21 NB NS NB B-C 22 PS PB NB D-E 23 PB PB PB D-E 24 PB PS PB D-E 25 NS NB PB F-G Dalam implementasi programnya, kalkulasi masukan E dan CE untuk mendapatkan keluaran perubahan nilai PWM, digunakan matrik yang nilainya sesuai dengan fungsi keanggotaan keluaran seperti dinyatakan dalam rule-rule di atas. Implementasi nilai-nilai rule dalam program dengan matrik berukuran 5 x 5 bertipe integer. Defuzzyfikasi Langkah terakhir dalam pengembangan sistem fuzzy adalah defuzzyfikasi yakni untuk mendapatkan nilai crisp dari hasil inferensi fuzzy. Fungsi keanggotaan keluaran adalah PWM berupa fungsi singleton yang mempunyai label yang sama dengan masukannya. Hubungan PWM dinyatakan: PWM(n) = PWM(n-1) + PWM 3.3 Berdasar pengukuran bahwa motor mulai berputar pada pemberian nilai PWM sebesar 100, maka rentang perubahan nilai PWM adalah mulai dari 0 sampai 52

13 dengan 155 ( ). Jadi untuk mengatasi dead zone pada motor, penulis hanya membatasi operasi pada daerah kerja liniernya saja sehingga fungsi keanggoatan PWM sebagai berikut Gambar III.12. Fungsi keanggotaan keluaran berupa PWM Sedangkan metoda yang digunakan dalam proses defuzzyfikasi adalah COG (center of grafity). Metode COG diilustrasikan sebagai berikut Gambar III.13. Defuzzyfikasi dengan metoda COG Secara matematis, nilau U yang berbenilai crisp dinyakatan dengan U= k i = 1 k i = 1 u i * µ(u i ) µ (u i ) 3.4 Pada proses defuzzyfikasi dengan metode COG setiap keluaran fungsi keanggotaan yang mempunyai nilai diatas fuzzy keluaran dipotong, pemotongan ini disebut lamda cut. Hasil dari fungsi keanggotaan yang telah terpotong digabungkan lalu dihitung dengan COG secara keseluruhan. 53

14 II.9.7 Kompensasi ketidaklinieran sistem Hasil percobaan untuk menyatakan hubungan antara PWM dengan kecepatan menunjukkan bahwa hubungan tersebut tidak linier, bahkan terdapat dead zone sehingga pada daerah tersebut perubahan nilai PWM tidak memberikan perubahan kecepatan, motor tetap dalam keadaan diam. Hubungan antara pemberian nilai PWM dan kecepatan motor dinyatakan dalam gambar berikut ini. PWM vs Kecepatan y = kecepatan (rpm) Plant x = PWM 8 bit (desimal) 155 Gambar III.14. Kompensasi ketidaklinieran plant Terlihat kondisi plant bahwa: Motor mulai bergerak pada pemberian nilai PWM 8 bit sebesar 100 sehingga terdapat dead zone dari 0 sampai dengan 100. Dari grafik terlihat bahwa hubungan PWM dengan kecepatan tidak benarbenar linier akan tetapi agak melengkung. Dari kondisi diatas, maka diperlukan kompensasi sehingga hasil komputasi memberikan respon mendekati kondisi nyata dengan cara membuat fungsi yang linier yang mendekati kondisi real plant tersebut, fungsi linier tersebut adalah 80 kecepatan = ( pwm 100)

15 Kondisi ini mempengaruhi perancangan fuzzy sehingga keluaran defuzzifikasi yang semula dari rentang menjadi 0-150, sehingga perubahan selisih pemberian nilai PWM hanya pada daerah kerja liniernya saja. 55

Bab IV Pengujian dan Analisis

Bab IV Pengujian dan Analisis Bab IV Pengujian dan Analisis Setelah proses perancangan, dilakukan pengujian dan analisis untuk mengukur tingkat keberhasilan perancangan yang telah dilakukan. Pengujian dilakukan permodul, setelah modul-modul

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3. 1. Spesifikasi Sistem Pada tugas akhir ini, penulis membuat sebuah prototype dari kendaraan skuter seimbang. Skuter seimbang tersebut memiliki spesifikasi sebagai

Lebih terperinci

ANALISIS PENGENDALI KECEPATAN MOTOR DC MENGGUNAKAN METODA LOGIKA FUZZY DENGAN PENCATUDAYAAN PWM TESIS

ANALISIS PENGENDALI KECEPATAN MOTOR DC MENGGUNAKAN METODA LOGIKA FUZZY DENGAN PENCATUDAYAAN PWM TESIS ANALISIS PENGENDALI KECEPATAN MOTOR DC MENGGUNAKAN METODA LOGIKA FUZZY DENGAN PENCATUDAYAAN PWM TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung

Lebih terperinci

GPENELITIAN MANDIRI RANCANG BANGUN SISTEM KENDALI MOTOR DC MENGGUNAKAN FUZZY LOGIC BERBASIS MIKROKONTROLER

GPENELITIAN MANDIRI RANCANG BANGUN SISTEM KENDALI MOTOR DC MENGGUNAKAN FUZZY LOGIC BERBASIS MIKROKONTROLER GPENELITIAN MANDIRI RANCANG BANGUN SISTEM KENDALI MOTOR DC MENGGUNAKAN FUZZY LOGIC BERBASIS MIKROKONTROLER Hendra Kusdarwanto Jurusan Fisika Unibraw Universitas Brawijaya Malang nra_kus@yahoo.com ABSTRAK

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN SISTEM. Gambar 3. 1 Diagram Blok Sistem Kecepatan Motor DC

BAB III PERANCANGAN DAN PEMBUATAN SISTEM. Gambar 3. 1 Diagram Blok Sistem Kecepatan Motor DC BAB III PERANCANGAN DAN PEMBUATAN SISTEM Bab ini menjelaskan tentang perancangan dan pembuatan sistem kontrol, baik secara software dan hardware yang akan digunakan untuk mendukung keseluruhan sistem yang

Lebih terperinci

Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller

Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller Thiang, Resmana, Fengky Setiono Jurusan Teknik Elektro Universitas Kristen Petra Jl. Siwalankerto

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PEANCANGAN DAN PEMBUATAN ALAT 3.1. Pendahuluan Dalam Bab ini akan dibahas pembuatan seluruh sistem perangkat yang ada pada Perancangan Dan Pembuatan Alat Aplikasi pengendalian motor DC menggunakan

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei Adapun tempat

III. METODE PENELITIAN. Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei Adapun tempat III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei 2012. Adapun tempat pelaksanaan penelitian ini adalah di Laboratorium Elektronika Dasar

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA Sudah menjadi trend saat ini bahwa pengendali suatu alat sudah banyak yang diaplikasikan secara otomatis, hal ini merupakan salah satu penerapan dari perkembangan teknologi dalam

Lebih terperinci

BAB III PERANCANGAN ALAT DAN PROGRAM MIKROKONTROLER. program pada software Code Vision AVR dan penanaman listing program pada

BAB III PERANCANGAN ALAT DAN PROGRAM MIKROKONTROLER. program pada software Code Vision AVR dan penanaman listing program pada BAB III PERANCANGAN ALAT DAN PROGRAM MIKROKONTROLER Pada tahap perancangan ini dibagi menjadi 2 tahap perancangan. Tahap pertama adalah perancangan perangkat keras (hardware), yang meliputi rangkaian rangkaian

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS 3.1. Pendahuluan Perangkat pengolah sinyal yang dikembangkan pada tugas sarjana ini dirancang dengan tiga kanal masukan. Pada perangkat pengolah sinyal

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan BAB 3 PERANCANGAN SISTEM Konsep dasar mengendalikan lampu dan komponen komponen yang digunakan pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan perancangan sistem

Lebih terperinci

BAB III PERANCANGAN ALAT PENYIMPANAN DATA KECEPATAN ANGIN, ARAH ANGIN DAN SUHU

BAB III PERANCANGAN ALAT PENYIMPANAN DATA KECEPATAN ANGIN, ARAH ANGIN DAN SUHU 38 BAB III PERANCANGAN ALAT PENYIMPANAN DATA KECEPATAN ANGIN, ARAH ANGIN DAN SUHU Bab ini akan menjelaskan perancangan dan pembuatan alat penyimpanan data kecepatan angin, arah angin dan suhu yang pembahasannya

Lebih terperinci

BAB III PERANCANGAN PERANGKAT KERAS MOBILE-ROBOT

BAB III PERANCANGAN PERANGKAT KERAS MOBILE-ROBOT BAB III PERANCANGAN PERANGKAT KERAS MOBILE-ROBOT 3.1. Perancangan Sistem Secara Umum bawah ini. Diagram blok dari sistem yang dibuat ditunjukan pada Gambar 3.1 di u(t) + e(t) c(t) r(t) Pengontrol Plant

Lebih terperinci

DT-51 Application Note

DT-51 Application Note DT-51 Application Note AN116 DC Motor Speed Control using PID Oleh: Tim IE, Yosef S. Tobing, dan Welly Purnomo (Institut Teknologi Sepuluh Nopember) Sistem kontrol dengan metode PID (Proportional Integral

Lebih terperinci

Lima metode defuzzifikasi ini dibandingkan dengan mengimplementasikan pada pengaturan kecepatan motor DC.

Lima metode defuzzifikasi ini dibandingkan dengan mengimplementasikan pada pengaturan kecepatan motor DC. Sutikno, Indra Waspada PERBANDINGAN METODE DEFUZZIFIKASI SISTEM KENDALI LOGIKA FUZZY MODEL MAMDANI PADA MOTOR DC Sutikno, Indra Waspada Program Studi Teknik Informatika Universitas Diponegoro tik@undip.ac.id,

Lebih terperinci

BAB IV PEMBAHASAN. waktu tertentu. Dimana alat tersebut dapat dioperasikan melalui komputer serta

BAB IV PEMBAHASAN. waktu tertentu. Dimana alat tersebut dapat dioperasikan melalui komputer serta 41 BAB IV PEMBAHASAN 4.1 Proses Kerja Sistem Pencacah Nuklir Sistem Pencacah Nuklir adalah sebuah alat yang digunakan untuk mencacah intensitas radiasi yang ditangkap oleh detektor nuklir dalam selang

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 BLOCK DIAGRAM Dalam bab ini akan dibahas perancangan perangkat keras dan perangkat lunak dari sistem kendali kecepatan robot troli menggunakan fuzzy logic. Serta latar belakang

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Tujuan dari pengujian alat pada tugas akhir ini adalah untuk mengetahui sejauh mana kinerja sistem yang telah dibuat dan untuk mengetahui penyebabpenyebab ketidaksempurnaan

Lebih terperinci

Perancangan dan Implementasi Embedded Fuzzy Logic Controller Untuk Pengaturan Kestabilan Gerak Robot Segway Mini. Helmi Wiratran

Perancangan dan Implementasi Embedded Fuzzy Logic Controller Untuk Pengaturan Kestabilan Gerak Robot Segway Mini. Helmi Wiratran Perancangan dan Implementasi Embedded Fuzzy Logic Controller Untuk Pengaturan Kestabilan Gerak Robot Segway Mini 1 Helmi Wiratran 2209105020 2 Latarbelakang (1) Segway PT: Transportasi alternatif dengan

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam Perancangan Alat Pengaduk Adonan Kue ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan tersebut antara

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1. Mikrokontroller AVR Mikrokontroller adalah suatu alat elektronika digital yang mempunyai masukan serta keluaran serta dapat di read dan write dengan cara khusus. Mikrokontroller

Lebih terperinci

BAB IV ANALISIS DATA DAN PEMBAHASAN

BAB IV ANALISIS DATA DAN PEMBAHASAN 34 BAB IV ANALISIS DATA DAN PEMBAHASAN Dalam bab IV ini akan dibahas tentang analisis data dan pembahasan berdasarkan perencanaan dari sistem yang dibuat. Rancangan alat indikator alarm ini digunakan untuk

Lebih terperinci

PENGENDALIAN KECEPATAN MOTOR DC DENGAN MENGGUNAKAN FUZZY LOGIC KONTROLER BERBASIS PLC

PENGENDALIAN KECEPATAN MOTOR DC DENGAN MENGGUNAKAN FUZZY LOGIC KONTROLER BERBASIS PLC PEGEDALIA KECEPATA MOTOR DC DEGA MEGGUAKA FUZZY LOGIC KOTROLER BERBASIS PLC Thiang, Fengky, Anies Hannawati, Resmana Jurusan Teknik Elektro Universitas Kristen Petra Siwalankerto 121-131, Surabaya Indonesia

Lebih terperinci

KEMENTERIAN PENDIDIKAN DAN KEBUDAYAAN UNIVERSITAS BRAWIJAYA FAKULTAS TEKNIK JURUSAN TEKNIK ELEKTRO

KEMENTERIAN PENDIDIKAN DAN KEBUDAYAAN UNIVERSITAS BRAWIJAYA FAKULTAS TEKNIK JURUSAN TEKNIK ELEKTRO KEMENTERIAN PENDIDIKAN DAN KEBUDAYAAN UNIVERSITAS BRAWIJAYA FAKULTAS TEKNIK JURUSAN TEKNIK ELEKTRO Jalan MT Haryono 167 Telp & Fax. 0341 554166 Malang 65145 KODE PJ-01 PENGESAHAN PUBLIKASI HASIL PENELITIAN

Lebih terperinci

DT-51 Application Note

DT-51 Application Note DT-51 Application Note AN16 - How 2 Use DT-51 PetraFuz oleh: Tim IE & Igit Purwahyudi (Universitas Widya Mandala) Banyak sekali sistem kontrol pada saat ini yang menginginkan output yang mempunyai ketelitian

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA 54 BAB IV PENGUJIAN DAN ANALISA Dalam bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Pengujian ini dilakukan untuk mengetahui kinerja dari sistem mulai dari blok-blok

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Pendahuluan Bab ini akan membahas pembuatan seluruh perangkat yang ada pada Tugas Akhir tersebut. Secara garis besar dibagi atas dua bagian perangkat yaitu: 1.

Lebih terperinci

PERANCANGAN SISTEM KONTROL KESTABILAN SUDUT AYUNAN BOX BAYI BERBASIS MIKROKONTROLER MENGGUNAKAN FUZZY LOGIC CONTROL

PERANCANGAN SISTEM KONTROL KESTABILAN SUDUT AYUNAN BOX BAYI BERBASIS MIKROKONTROLER MENGGUNAKAN FUZZY LOGIC CONTROL PERANCANGAN SISTEM KONTROL KESTABILAN SUDUT AYUNAN BOX BAYI BERBASIS MIKROKONTROLER MENGGUNAKAN FUZZY LOGIC CONTROL Wiwit Fitria 1*, Anton Hidayat, Ratna Aisuwarya 2 Jurusan Sistem Komputer, Universitas

Lebih terperinci

NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION

NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION Diajukan Sebagai Salah Satu Syarat Menyelesaikan Program Studi S-1 Jurusan Teknik Elektro Fakultas

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. (secara hardware).hasil implementasi akan dievaluasi untuk mengetahui apakah

BAB 4 IMPLEMENTASI DAN EVALUASI. (secara hardware).hasil implementasi akan dievaluasi untuk mengetahui apakah BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan telah dibuat dan dijelaskan pada Bab 3, kemudian perancangan tersebut diimplementasi ke dalam bentuk yang nyata (secara hardware).hasil implementasi

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM 22 BAB 3 PERANCANGAN SISTEM Pada bab ini akan dijelaskan keseluruhan dari sistem atau alat yang dibuat. Secara keseluruhan sistem ini dibagi menjadi dua bagian yaitu perangkat keras yang meliputi komponen

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini akan dijelaskan mengenai perancangan dan realisasi dari perangkat keras, serta perangkat lunak dari trainer kendali kecepatan motor DC menggunakan kendali PID dan

Lebih terperinci

DAFTAR ISI. HALAMAN JUDUL... i. HALAMAN PENGESAHAN... ii. HALAMAN PERNYATAAN... iii. KATA PENGANTAR... iv. MOTO DAN PERSEMBAHAN... v. DAFTAR ISI...

DAFTAR ISI. HALAMAN JUDUL... i. HALAMAN PENGESAHAN... ii. HALAMAN PERNYATAAN... iii. KATA PENGANTAR... iv. MOTO DAN PERSEMBAHAN... v. DAFTAR ISI... DAFTAR ISI HALAMAN JUDUL... i HALAMAN PENGESAHAN... ii HALAMAN PERNYATAAN... iii KATA PENGANTAR... iv MOTO DAN PERSEMBAHAN... v DAFTAR ISI... vi DAFTAR GAMBAR... viii DAFTAR TABEL... x ABSTRAK... xi ABSTRACT...

Lebih terperinci

TUGAS MATAKULIAH APLIKASI KOMPUTER DALAM SISTEM TENAGA LISTRIK FINAL REPORT : Pengendalian Motor DC menggunakan Komputer

TUGAS MATAKULIAH APLIKASI KOMPUTER DALAM SISTEM TENAGA LISTRIK FINAL REPORT : Pengendalian Motor DC menggunakan Komputer TUGAS MATAKULIAH APLIKASI KOMPUTER DALAM SISTEM TENAGA LISTRIK FINAL REPORT : Pengendalian Motor DC menggunakan Komputer disusun oleh : MERIZKY ALFAN ADHI HIDAYAT AZZA LAZUARDI JA FAR JUNAIDI 31780 31924

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Perangkat Keras Sistem perangkat keras yang digunakan dalam penelitian ini ditunjukkan oleh blok diagram berikut: Computer Parallel Port Serial Port ICSP Level

Lebih terperinci

MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING

MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING Oleh Fitri Adi Iskandarianto, ST, MT Andi Rahmadiansah, ST. MT Lab ab.. Workshop Instrumentasi D3-Teknik Instrumentasi Jurusan Teknik Fisika Fakultas Teknologi

Lebih terperinci

PENGENDALIAN KECEPATAN MOTOR DC MENGGUNAKAN SENSOR ENCODER DENGAN KENDALI PI

PENGENDALIAN KECEPATAN MOTOR DC MENGGUNAKAN SENSOR ENCODER DENGAN KENDALI PI PENGENDALIAN KECEPATAN MOTOR DC MENGGUNAKAN SENSOR ENCODER DENGAN KENDALI PI Jumiyatun Jurusan Teknik Elektro Fakultas Teknik Universitas Tadolako E-mail: jum@untad.ac.id ABSTRACT Digital control system

Lebih terperinci

RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE)

RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE) Makalah Seminar Tugas Akhir RANCANG BANGUN SIMULATOR PENGENDALIAN POSISI CANNON PADA MODEL TANK MILITER DENGAN PENGENDALI PD (PROPOSIONAL DERIVATIVE) Heru Triwibowo [1], Iwan Setiawan [2], Budi Setiyono

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

Kendali Perancangan Kontroler PID dengan Metode Root Locus Mencari PD Kontroler Mencari PI dan PID kontroler...

Kendali Perancangan Kontroler PID dengan Metode Root Locus Mencari PD Kontroler Mencari PI dan PID kontroler... DAFTAR ISI LEMBAR PENGESAHAN DOSEN PEMBIMBING... i LEMBAR PENGESAHAN DOSEN PENGUJI... ii HALAMAN PERSEMBAHAN... iii HALAMAN MOTTO... iv KATA PENGANTAR... v ABSTRAK... vii DAFTAR ISI... ix DAFTAR TABEL...

Lebih terperinci

ROBOT MOBILE PENJEJAK ARAH CAHAYA DENGAN KENDALI LOGIKA FUZZY

ROBOT MOBILE PENJEJAK ARAH CAHAYA DENGAN KENDALI LOGIKA FUZZY ROBOT MOBIE PENJEJAK ARAH CAHAYA DENGAN KENDAI OGIKA FUZZY Fajar Wisnu Aribowo 1, Adian Fatchur R 2, Iwan Setiawan 2 Jurusan Teknik Elektro Fakultas Teknik Universitas Diponegoro Abstrak - Pengaturan kecepatan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM Perancangan sistem pada timbangan digital sebagai penentuan pengangkatan beban oleh lengan robot berbasiskan sensor tekanan (Strain Gauge) dibagi menjadi dua bagian yaitu perancangan

Lebih terperinci

Rancang Bangun Sistem Pengaturan Kecepatan Coolpad Menggunakan Sistem Kontrol Logika Fuzzy

Rancang Bangun Sistem Pengaturan Kecepatan Coolpad Menggunakan Sistem Kontrol Logika Fuzzy Rancang Bangun Sistem Pengaturan Kecepatan Coolpad Menggunakan Sistem Kontrol Logika Fuzzy Benny Singgih Santoso 1,Hendik Eko Hadi Suharyanto 2, Renny Rakhmawati 3 1 Mahasiswa Jurusan Teknik Elektro Industri

Lebih terperinci

Sistem Pengaturan Kecepatan Motor DC pada Alat Ektraksi Madu Menggunakan Kontrol Logika Fuzzy

Sistem Pengaturan Kecepatan Motor DC pada Alat Ektraksi Madu Menggunakan Kontrol Logika Fuzzy 1 Sistem Pengaturan Kecepatan Motor DC pada Alat Ektraksi Madu Menggunakan Kontrol Logika Fuzzy, Pembimbing 1: Erni Yudaningtyas, Pembimbing 2: Goegoes Dwi N. Abstrak Alat ekstraksi madu yang diputar secara

Lebih terperinci

SISTEM PENGEMBANGAN KENDALI LOGIKA FUZZY BERBASIS PROGRAMMABLE LOGIC CONTROLLER

SISTEM PENGEMBANGAN KENDALI LOGIKA FUZZY BERBASIS PROGRAMMABLE LOGIC CONTROLLER SISTEM PENGEMBANGAN KENDALI LOGIKA FUZZY BERBASIS PROGRAMMABLE LOGIC CONTROLLER Thiang, Felix Pasila, Junaedi Jurusan Teknik Elektro, Universitas Kristen Petra Jl. Siwalankerto 121-131 Surabaya Telp :

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT 39 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik Eskalator. Sedangkan untuk pembuatan

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

Gambar 3.1 Blok Diagram Port Serial RXD (P3.0) D SHIFT REGISTER. Clk. SBUF Receive Buffer Register (read only)

Gambar 3.1 Blok Diagram Port Serial RXD (P3.0) D SHIFT REGISTER. Clk. SBUF Receive Buffer Register (read only) 1. Operasi Serial Port mempunyai On Chip Serial Port yang dapat digunakan untuk komunikasi data serial secara Full Duplex sehingga Port Serial ini masih dapat menerima data pada saat proses pengiriman

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN SISTEM

BAB IV ANALISA DAN PENGUJIAN SISTEM BAB IV ANALISA DAN PENGUJIAN SISTEM 4.1 Pengujian Perangkat Keras (Hardware) Pengujian perangkat keras sangat penting dilakukan karena melalui pengujian ini rangkaian-rangkaian elektronika dapat diuji

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Bab ini menguraikan perancangan mekanik, perangkat elektronik dan perangkat lunak untuk membangun Pematrian komponen SMD dengan menggunakan conveyor untuk indutri kecil dengan

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM. Dari diagram sistem dapat diuraikan metode kerja sistem secara global.

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM. Dari diagram sistem dapat diuraikan metode kerja sistem secara global. BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM 3.1 Perancangan Perangkat Keras 3.1.1 Blok Diagram Dari diagram sistem dapat diuraikan metode kerja sistem secara global. Gambar

Lebih terperinci

BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS. Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk

BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS. Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk BAB III DESAIN BUCK CHOPPER SEBAGAI CATU POWER LED DENGAN KENDALI ARUS 3.1. Pendahuluan Pada bagian ini akan dibahas cara menkontrol converter tipe buck untuk menghidupkan HPL (High Power LED) dengan watt

Lebih terperinci

PC-Link. 1x Komputer / Laptop dengan OS Windows 2000, Windows XP atau yang lebih tinggi. Gambar 1 Blok Diagram AN200

PC-Link. 1x Komputer / Laptop dengan OS Windows 2000, Windows XP atau yang lebih tinggi. Gambar 1 Blok Diagram AN200 PC-Link PC-Link Application Note AN200 GUI Digital Input dan Output Oleh: Tim IE Aplikasi ini akan membahas software GUI (Grapic User Interface) yang digunakan untuk mengatur Digital Input dan Output pada.

Lebih terperinci

BAB IV ANALISIS RANGKAIAN ELEKTRONIK

BAB IV ANALISIS RANGKAIAN ELEKTRONIK BAB IV ANALISIS RANGKAIAN ELEKTRONIK 4.1 Rangkaian Pengontrol Bagian pengontrol sistem kontrol daya listrik, menggunakan mikrokontroler PIC18F4520 seperti yang ditunjukkan pada Gambar 30. Dengan osilator

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK Bab ini membahas tentang perancangan perangkat lunak yang meliputi interface PC dengan mikrokontroller, design, database menggunakan Microsoft access untuk

Lebih terperinci

BAB III PERANCANGAN SISTEM. 3.1 Pengantar Perancangan Sistem Pengendalian Lampu Pada Lapangan Bulu

BAB III PERANCANGAN SISTEM. 3.1 Pengantar Perancangan Sistem Pengendalian Lampu Pada Lapangan Bulu BAB III PERANCANGAN SISTEM 3.1 Pengantar Perancangan Sistem Pengendalian Lampu Pada Lapangan Bulu Tangkis Indoor Pada lapangan bulu tangkis, penyewa yang menggunakan lapangan harus mendatangi operator

Lebih terperinci

DT-BASIC Application Note

DT-BASIC Application Note DT-BASIC DT-BASIC Application Note AN137 Kontrol Motor dengan DT-BASIC Oleh: Tim IE Aplikasi ini menjelaskan penggunaan modul DT-BASIC series yang menggunakan bahasa pemrograman PBASIC untuk mengendalikan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM Pada bab ini akan dijelaskan perancangan dari prototype yang dibuat, yaitu konsep dasar alat, diagram blok, perancangan elektronika yang meliputi rangkaian rangkaian elektronika

Lebih terperinci

PERANCANGAN KONTROLER PI ANTI-WINDUP BERBASIS MIKROKONTROLER ATMEGA 32 PADA KONTROL KECEPATAN MOTOR DC

PERANCANGAN KONTROLER PI ANTI-WINDUP BERBASIS MIKROKONTROLER ATMEGA 32 PADA KONTROL KECEPATAN MOTOR DC Presentasi Tugas Akhir 5 Juli 2011 PERANCANGAN KONTROLER PI ANTI-WINDUP BERBASIS MIKROKONTROLER ATMEGA 32 PADA KONTROL KECEPATAN MOTOR DC Pembimbing: Dr.Ir. Moch. Rameli Ir. Ali Fatoni, MT Dwitama Aryana

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Perancangan sistem pengendalian posisi linier motor DC dengan algoritma

BAB 3 PERANCANGAN SISTEM. Perancangan sistem pengendalian posisi linier motor DC dengan algoritma 27 BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan sistem pengendalian posisi linier motor DC dengan algoritma PID berbasiskan mikrokontroler ini mempunyai main modul untuk pengendalian

Lebih terperinci

BAB IV ANALISIS DAN PENGUJIAN. Berikut ini adalah diagram blok rangkaian secara keseluruhan dari sistem alat ukur curah hujan yang dirancang.

BAB IV ANALISIS DAN PENGUJIAN. Berikut ini adalah diagram blok rangkaian secara keseluruhan dari sistem alat ukur curah hujan yang dirancang. BAB IV ANALISIS DAN PENGUJIAN Pada bab ini akan dibahas tentang skema rangkaian dari sistem alat ukur tingkat curah hujan secara keseluruhan, analisis perangkat keras, pengolahan data di software dan analisis

Lebih terperinci

Implementasi Fuzzy Logic Pada Microcontroller Untuk Kendali Putaran Motor DC

Implementasi Fuzzy Logic Pada Microcontroller Untuk Kendali Putaran Motor DC Implementasi Fuzzy Logic Pada Microcontroller Untuk Kendali Putaran Motor DC Resmana, Hany Ferdinando, Thiang, Agus Suryo Widagdo Jurusan Teknik Elektro Universitas Kristen Petra, Surabaya Jl. Siwalankerto

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dijelaskan mengenai perancangan dari perangkat keras, serta perangkat lunak dari alat akuisisi data termokopel 8 kanal. 3.1. Gambaran Sistem Alat yang direalisasikan

Lebih terperinci

BAB IV IMPLEMENTASI DAN PENGUJIAN

BAB IV IMPLEMENTASI DAN PENGUJIAN BAB IV IMPLEMENTASI DAN PENGUJIAN 4. 1. Implementasi Pada sub bab ini, akan dibahas mengenai detail dari penerapan masing masing perangkat keras dan algoritma yang telah dirancang pada bab sebelumnya.

Lebih terperinci

EMS. 2 A Dual H-Bridge

EMS. 2 A Dual H-Bridge EMS 2 A Dual H-Bridge Daftar Isi 1. Pendahuluan... 3 2. Spesifikasi... 3 3. Tata Letak Komponen... 3 4. Keterangan Antarmuka... 4 5. Contoh Koneksi... 5 5.1. Contoh Koneksi Untuk 2 Buah Motor 2 Arah...

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS 3.1. Spesifikasi Perancangan Perangkat Keras Secara sederhana, perangkat keras pada tugas akhir ini berhubungan dengan rancang bangun robot tangan. Sumbu

Lebih terperinci

BAB III PERANCANGAN. Gambar 3.1. Blok sistem secara keseluruhan. Sensor tegangan dan sensor arus RTC. Antena Antena. Sensor suhu.

BAB III PERANCANGAN. Gambar 3.1. Blok sistem secara keseluruhan. Sensor tegangan dan sensor arus RTC. Antena Antena. Sensor suhu. BAB III PERANCANGAN Pada bab tiga akan diuraikan mengenai perancangan sistem dari perangkat keras dan perangkat lunak yang digunakan pada Data Logger Parameter Panel Surya. Dimulai dari uraian cara kerja

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Tujuan dari pengujian alat pada tugas akhir ini adalah untuk mengetahui sejauh mana kinerja sistem yang telah dibuat dan untuk mengetahui penyebabpenyebab ketidaksempurnaan

Lebih terperinci

IV. PERANCANGAN SISTEM

IV. PERANCANGAN SISTEM SISTEM PENGATURAN KECEPATAN PUTARAN MOTOR PADA MESIN PEMUTAR GERABAH MENGGUNAKAN KONTROLER PROPORSIONAL INTEGRAL DEFERENSIAL (PID) BERBASIS MIKROKONTROLER Oleh: Pribadhi Hidayat Sastro. NIM 8163373 Jurusan

Lebih terperinci

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN...

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN... DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... Halaman DAFTAR LAMPIRAN... xviii DAFTAR ISTILAH DAN SINGKATAN... BAB I PENDAHULUAN 1.1 Latar

Lebih terperinci

ANALISA SISTEM KENDALI FUZZY PADA CONTINUOUSLY VARIABLE TRANSMISSION (CVT) DENGAN DUA PENGGERAK PUSH BELT UNTUK MENINGKATKAN KINERJA CVT

ANALISA SISTEM KENDALI FUZZY PADA CONTINUOUSLY VARIABLE TRANSMISSION (CVT) DENGAN DUA PENGGERAK PUSH BELT UNTUK MENINGKATKAN KINERJA CVT ANALISA SISTEM KENDALI FUZZY PADA CONTINUOUSLY VARIABLE TRANSMISSION (CVT) DENGAN DUA PENGGERAK PUSH BELT UNTUK MENINGKATKAN KINERJA CVT Oleh : Agung Prasetya Adhayatmaka NRP 2108100521 Dosen Pembimbing

Lebih terperinci

Perancangan Serial Stepper

Perancangan Serial Stepper Perancangan Serial Stepper ini : Blok diagram dari rangakaian yang dirancang tampak pada gambar dibawah Komputer Antar Muka Peralatan luar Komputer Komputer berfungsi untuk mengendalikan peralatan luar,

Lebih terperinci

Bab II Landasan Teori

Bab II Landasan Teori Bab II Landasan Teori II.1 Dasar-dasar sistem kendali Sejarah perkembangan sistem kendali diawali dengan revolusi industri I dan II sedangkan Perang Dunia I dan II mempercepat perkembangannya. James Watts

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem deteksi keberhasilan software QuickMark untuk mendeteksi QRCode pada objek yang bergerak di conveyor. Garis besar pengukuran

Lebih terperinci

SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560

SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560 1 SISTEM PENGATURAN POSISI SUDUT PUTAR MOTOR DC PADA MODEL ROTARY PARKING MENGGUNAKAN KONTROLER PID BERBASIS ARDUINO MEGA 2560 Adityan Ilmawan Putra, Pembimbing 1: Purwanto, Pembimbing 2: Bambang Siswojo.

Lebih terperinci

IMPLEMENTASI MICROKONTROLLER UNTUK SISTEM KENDALI KECEPATAN BRUSHLESS DC MOTOR MENGGUNAKAN ALGORITMA HYBRID PID FUZZY

IMPLEMENTASI MICROKONTROLLER UNTUK SISTEM KENDALI KECEPATAN BRUSHLESS DC MOTOR MENGGUNAKAN ALGORITMA HYBRID PID FUZZY Implementasi Microkontroller untuk Sistem Kendali Kecepatan (Kristiyono dkk.) IMPLEMENTASI MICROKONTROLLER UNTUK SISTEM KENDALI KECEPATAN BRUSHLESS DC MOTOR MENGGUNAKAN ALGORITMA HYBRID PID FUZZY Roedy

Lebih terperinci

BAB III METODE PENELITIAN. Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot

BAB III METODE PENELITIAN. Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot BAB III METODE PENELITIAN 3.1. Model Pengembangan Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot yang mampu membantu manusia dalam mendeteksi kebocoran gas. Robot ini berperan sebagai

Lebih terperinci

II. PERANCANGAN SISTEM

II. PERANCANGAN SISTEM Sistem Pengaturan Intensitas Cahaya Dengan Perekayasaan Kondisi Lingkungan Pada Rumah Kaca Alfido, Ir. Purwanto, MT., M.Aziz muslim, ST., MT.,Ph.D. Teknik Elektro Universitas Brawijaya Jalan M.T Haryono

Lebih terperinci

ADC (Analog to Digital Converter)

ADC (Analog to Digital Converter) ADC (Analog to Digital Converter) Analog to Digital Converter (ADC) adalah sebuah piranti yang dirancang untuk mengubah sinyal-sinyal analog menjadi sinyal sinyal digital. IC ADC 0804 dianggap dapat memenuhi

Lebih terperinci

BAB III PERANCANGAN. bayi yang dilengkapi sistem telemetri dengan jaringan RS485. Secara umum, sistem. 2. Modul pemanas dan pengendali pemanas

BAB III PERANCANGAN. bayi yang dilengkapi sistem telemetri dengan jaringan RS485. Secara umum, sistem. 2. Modul pemanas dan pengendali pemanas BAB III PERANCANGAN 3.1. Gambaran Umum Sistem Sistem yang akan dirancang dan direalisasikan merupakan sebuah inkubator bayi yang dilengkapi sistem telemetri dengan jaringan RS485. Secara umum, sistem yang

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam perancangan alat pendeteksi pelanggaran garis putih pada Traffict Light ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahanpermasalahan

Lebih terperinci

Makalah Seminar Tugas Akhir

Makalah Seminar Tugas Akhir Makalah Seminar Tugas Akhir Pengaturan Kecepatan Motor DC Dengan Adaptive Fuzzy Logic Controller Metode Tuning Output Peter Christianto [1], Darjat, S.T, M.T [2], Iwan Setiawan, S.T, M.T [2] Jurusan Teknik

Lebih terperinci

DISAIN DAN IMPLEMENTASI PENGENDALI FUZZY BERBASIS DIAGRAM LADDER PLC MITSUBISHI Q02HCPU PADA SISTEM MOTOR INDUKSI

DISAIN DAN IMPLEMENTASI PENGENDALI FUZZY BERBASIS DIAGRAM LADDER PLC MITSUBISHI Q02HCPU PADA SISTEM MOTOR INDUKSI DISAIN DAN IMPLEMENTASI PENGENDALI FUZZY BERBASIS DIAGRAM LADDER PLC MITSUBISHI Q02HCPU PADA SISTEM MOTOR INDUKSI Syarif Jamaluddin a, Ir. Aries Subiantoro, M.Sc. b a,b) Departemen Elektro Fakultas Teknik,

Lebih terperinci

Sistem Pengendali Suhu Otomatis Pada Inkubator Fermentasi Yoghurt Berbasis Mikrokontroler Dengan Metode Logika Fuzzy

Sistem Pengendali Suhu Otomatis Pada Inkubator Fermentasi Yoghurt Berbasis Mikrokontroler Dengan Metode Logika Fuzzy 275 Sistem Pengendali Suhu Otomatis Pada Inkubator Fermentasi Yoghurt Berbasis Mikrokontroler Dengan Metode Logika Fuzzy Rizka Vionita *), Zaini **), Derisma ***) * *** Sistem Komputer Universitas Andalas

Lebih terperinci

DESAIN PEMBUATAN PROTOTYPE SISTEM PENGATURAN KECEPATAN MOTOR DC PENGUAT TERPISAH BERBASIS MIKROKONTROLER DENGAN LOGIKA FUZZY SKRIPSI

DESAIN PEMBUATAN PROTOTYPE SISTEM PENGATURAN KECEPATAN MOTOR DC PENGUAT TERPISAH BERBASIS MIKROKONTROLER DENGAN LOGIKA FUZZY SKRIPSI DESAIN PEMBUATAN PROTOTYPE SISTEM PENGATURAN KECEPATAN MOTOR DC PENGUAT TERPISAH BERBASIS MIKROKONTROLER DENGAN LOGIKA FUZZY SKRIPSI Oleh Mohtar Tri Efendi NIM 101910201102 PROGRAM STUDI STRATA-1 TEKNIK

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS

BAB IV PENGUJIAN DAN ANALISIS BAB IV PENGUJIAN DAN ANALISIS Pengujian alat dilakukan untuk mengetahui dan menunjukkan hasil kerja dari keseluruhan sistem yang telah dirancang dan direalisasikan. Pengujian alat yang dilakukan meliputi

Lebih terperinci

DAFTAR ISI. Halaman Judul... i. Lembar Pengesahan Pembimbing... ii. Lembar Pernyataan Keaslian...iii. Lembar Pengesahan Pengujian...

DAFTAR ISI. Halaman Judul... i. Lembar Pengesahan Pembimbing... ii. Lembar Pernyataan Keaslian...iii. Lembar Pengesahan Pengujian... xi DAFTAR ISI Halaman Judul... i Lembar Pengesahan Pembimbing... ii Lembar Pernyataan Keaslian...iii Lembar Pengesahan Pengujian... iv Halaman Persembahan... v Halaman Motto... vi Kata Pengantar... vii

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISEM 3.1. Perancangan Perangkat Keras Blok diagram yang dibuat pada perancangan tugas akhir ini secara keseluruhan dapat dilihat pada gambar 3.1. Keypad Sensor 1 Sensor 2 Sensor 3

Lebih terperinci

BAB II TEORI DASAR 2.1 Pendahuluan 2.2 Sensor Clamp Putaran Mesin

BAB II TEORI DASAR 2.1 Pendahuluan 2.2 Sensor Clamp Putaran Mesin 4 BAB II TEORI DASAR 2.1 Pendahuluan Pada bab ini akan dijelaskan mengenai teori-teori mengenai perangkatperangkat pendukung baik perangkat keras dan perangkat lunak yang akan dipergunakan sebagai pengukuran

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. sederhana, ditunjukan pada blok diagram dibawah ini.

BAB 3 PERANCANGAN SISTEM. sederhana, ditunjukan pada blok diagram dibawah ini. BAB 3 PERANCANGAN SISTEM 3.1 Perangkat Keras Pada penelitian ini, menggunakan beberapa perangkat keras. Secara sederhana, ditunjukan pada blok diagram dibawah ini. Gambar 3.1 Blok Diagram Perangkat Keras

Lebih terperinci

PROTOTIPE SISTEM KENDALI TEMPERATUR BERBASIS FUZZY LOGIC PADA SEBUAH INKUBATOR

PROTOTIPE SISTEM KENDALI TEMPERATUR BERBASIS FUZZY LOGIC PADA SEBUAH INKUBATOR PROTOTIPE SISTEM KENDALI TEMPERATUR BERBASIS FUZZY LOGIC PADA SEBUAH INKUBATOR Abstrak Anies Hannawati, Thiang, Resmana JURUSAN TEKNIK ELEKTRO UNIVERSISTAS KRISTEN PETRA Makalah ini menyajikan framework

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

JOBSHEET VIII MENGGUNAKAN TIMER/COUNTER DALAM MIKROKONTROLER ATMEGA8535

JOBSHEET VIII MENGGUNAKAN TIMER/COUNTER DALAM MIKROKONTROLER ATMEGA8535 JOBSHEET VIII MENGGUNAKAN TIMER/COUNTER DALAM MIKROKONTROLER ATMEGA8535 1 TUJUAN Mahasiswa mampu menggunakan fitur timer/counter mikrokontroler. Mahasiswa mampu menggunakan mikrokontroler untuk membuat

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA...

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA... DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA... DAFTAR ISI... DAFTAR GAMBAR... DAFTAR TABEL... i iii iv

Lebih terperinci

IMPLEMENTASI MODEL REFERENCE ADAPTIVE SYSTEMS (MRAS) UNTUK KESTABILAN PADA ROTARY INVERTED PENDULUM

IMPLEMENTASI MODEL REFERENCE ADAPTIVE SYSTEMS (MRAS) UNTUK KESTABILAN PADA ROTARY INVERTED PENDULUM IMPLEMENTASI MODEL REFERENCE ADAPTIVE SYSTEMS (MRAS) UNTUK KESTABILAN PADA ROTARY INVERTED PENDULUM Aretasiwi Anyakrawati, Pembimbing : Goegoes D.N, Pembimbing 2: Purwanto. Abstrak- Pendulum terbalik mempunyai

Lebih terperinci

PEMBUATAN APLIKASI TRACKING ANTENA BERBASIS KANAL TV. Kampus ITS, Surabaya

PEMBUATAN APLIKASI TRACKING ANTENA BERBASIS KANAL TV. Kampus ITS, Surabaya PEMBUATAN APLIKASI TRACKING ANTENA BERBASIS KANAL TV Fajrin Aryuanda 1, Budi Aswoyo 2, Akuwan Saleh 2 1 Politeknik Elektronika Negeri Surabaya, Jurusan Teknik Telekomunikasi 2 Laboratorium Digital Signal

Lebih terperinci