BAB I SISTEM BILANGAN DAN PENGKODEAN

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB I SISTEM BILANGAN DAN PENGKODEAN"

Transkripsi

1 BAB I SISTEM BILANGAN DAN PENGKODEAN I.. Sistem Bilangan Untuk memahami cara kerja komputer, kita membutuhkan konsep mengenai sistem bilangan dan sistem pengkodean (coding systems) karena adanya perbedaan antara sistem bilangan desimal yang umum digunakan manusia dengan sistem bilangan yang dikenali komputer, yaitu sistem bilangan biner. Bilangan biner yang direpresentasikan dalam logika dan itulah yang dikenal rangkaian digital. Rangkaian digital mempunyai peranan yang sangat penting untuk menciptakan sebuah komputer dan tentunya hampir semua rangkaian dalam komputer ialah rangkaian digital. I... Sistem Bilangan Desimal Manusia dalam kehidupan sehari harinya menggunakan bilangan basis (desimal), sedangkan komputer menggunakan bilangan basis (biner), contohnya logika untuk tinggi dan untuk rendah. Operasi sistem digital pada rangkaian digital mewakili bilangan, huruf atau simbol. Sistem bilangan yang paling banyak digunakan pada saat ini adalah sistem desimal yang menggunakan lambang bilangan, yaitu,,, 3, 4, 5, 6, 7, 8, 9. Berapapun bilangan yang ingin dinyatakan, hanya digunakan kombinasi kesepuluh angka tersebut untuk merepresentasikannya. Sebagai contoh, pada bilangan desimal 4 digit, digit paling kanan mempunyai faktor dan digit paling kiri memiliki faktor 3. berikut ini contoh bilangan 36 ke bilangan desimal : 36 = ( )+( )+(6 )+(3 3 ) = = 36 Pada contoh sistem bilangan desimal diatas, kita menggunakan prosedur umum untuk menkonversikan nilai ke nilai desimalnya (basis ). I... Sistem Bilangan Biner Elektronika digital menggunakan sistem bilangan biner karena hanya mengenal logika dan logika yang umumnya diwakili besar tegangan 5 volt (logika ) dan volt (logika ). Sebagai contoh, nilai bilangan biner dapat diartikan dalam sistem bilangan desimal sebagai berikut : = ( )+( )+( )+( 3 )

2 = = 9 dari hasil perhitungan di atas, bilangan biner sama dengan bilangan desimal 9 (dilambangkan dengan 9, sesuai dengan basisnya). Contoh lain, yaitu mengubah bilangan biner ke bilangan desimal digambarkan sebagai berikut : = 87 I..3. Konversi Bilangan Desimal ke Biner Konversi dari bilangan biner ke desimal digunakan oleh komputer digital untuk mempermudah penerjemah dan pembacaan oleh perangkat keras. Ketika seorang pengguna memasukkan bilangan desimal ke komputer digital, bilang tersebut harus dikonversikan ke bilangan biner sebelum dioperasikan pada komputer digital tersebut. Untuk mengkonversikan bilangan desimal ke bilangan biner, digunakan rumus n atau yang dikenal dengan weighting faktor pangkat. Tabel. konversi bilangan desimal ke bilangan biner Pangkat Nilai Contoh :. Konversikan 33 ke biner Berdasarkan tabel diatas, nilai yang paling dekat ke 33 adalah 8 ( 7 ), namun nilai tersebut masih di bawah 33 (kurang 5). Oleh karena itu dibutuhkan 5 nilai lagi yang dapat diperoleh dari dan. Jadi nilai dari 33 dalam biner ialah. Metode lain untuk mengkonversi bilangan desimal ke bilangan biner adalah dengan successive division (pembagian berturut-turut). Successive division dilakukan

3 melalui pembagian berulang-lang terhadap bilangan yang akan dikonversikan. Sebagai contoh konversi ke nilai binernya dilakukan melalui prosedur berikut ini : pembagi Hasil sisa bagi 6 (LSB) (MSB) Sisa pembagian pertama adalah dan merupakan bit terendah atau least significant bit (LSB). Sisa pembagian terakhir adalah dan merupakan bit tertinggi atau most significant bit (MSB). Oleh karena itu jawaban dari contoh di atas adalah.. Konversikan 5 ke bilangan biner menggunakan successive division? Jawab : pembagi Hasil sisa bagi 5 76 (LSB) (MSB) Sehingga 5 = 3

4 I..4. Sistem Bilangan Oktal Sistem bilangan oktal menggunakan delapan macam simbol bilangan, yaitu,,,3,4,5,6 dan 7 serta menggunakan basis 8. Sistem bilangan oktal digunakan perusahaan komputer yang menggunakan kode 3 bit untuk menunjukkan instruksi atau operasi. Menggunakan bilangan oktal sebagai perwakilan pengganti bilangan biner, pengguna dapat dengan mudah memasukkan pekerjaan atau membaca instruksi komputer. Pada tabel di bawah ini kita dapat melihat beberapa konversi antar sistem bilangan. Tabel.. Konversi antar sistem bilangan Desimal Biner Oktal I..5. Konversi Bilangan Oktal Contoh :. Konversikan bilangan biner ke bilangan oktal Jawab : 3 7 jadi = Konversikan bilangan oktal 64 8 ke nilai binernya Jawab : 6 4 jadi 64 8 = 4

5 3. Konversikan bilangan oktal 36 8 ke nilai desimalnya Jawab : 746 = (68 )+(48 )+(78 ) = = 4 I..6. Sistem Bilangan Heksadesimal Sistem bilangan heksadesimal mirip dengan sistem bilangan oktal, tetapi menggunakan 6 macam symbol, yaitu :,,, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E dan F. Beberapa computer seperti IBM/36, data General Nova, PDP-DEC, Honeywell, serta beberapa minicomputer dan mikrokomputer mengorganisasikan memori utama ke dalam satuan yang terdiri dari 8-bit. Masing-masing byte digunakan untuk menyimpan suatu karakter alfanumerik yang dibagi dalam dua kelompok yang masing-masing terdiri dari 4-bit. High order nibble adalah istilah untuk empat bit pertama, sedangkan low order nibble adalah istilah untuk empat bit kedua. Terdapat kombinasi yang menggunakan 4-bit sehingga diperlukan sistem bilangan yang berbasis-6 dan disebut sistem bilangan heksadesimal. I..7. Konversi Bilangan Heksadesimal Untuk mengkonversi bilangan biner ke bilangan heksadesimal, kelompokkan angkaangka biner dalam kelompok empat bilangan (dimulai dari bit terkecil). Contoh :. Konversikan bilangan biner ke nilai heksadesimalnya? Jawab : 7 D = 7D 6. Konversikan bilangan heksadesimal A9 6 ke nilai binernya? Jawab : A 9 = 3. Konversikan bilangan heksadesimal A6 6 ke nilai desimalnya? Jawab : A6 6 = (66 )+(A6 )+(6 ) = = 678 5

6 I.. Sistem Binary Code Desimal (BCD) Sistem BCD digunakan untuk menampilkan digit desimal sebagai kode biner 4 bit. Kode ini berguna untuk menampilkan angka numerik dari sampai dengan 9 seperti pada jam digital atau voltmeter. Untuk mengubah nilai BCD ke biner, ubah tiap digit desimal ke 4 bit biner. Contoh :. Konversi bilangan desimal 596 ke nilai BCDnya? Jawab : BCD. Konversi bilangan BCD BCD ke nilai desimalnya? Jawab : = 758 I.3. Kode ASCII Kode khusus untuk mewakili semua data alfanumeris (huruf, symbol dan bilangan), diterbitkan oleh institusi standarisasi nasional emerika. Kode ASCII dinyatakan dalam bit biner. Selain angka dan huruf, kode ini juga menampung karakter control seperti EOF (End of File) sebagai tanda akhir file dan EOL (End of Line) sebagai tanda akhir baris. Kode ini merupakan kode yang paling banyak digunakan untuk pertukaran informasi. Tujuh bit kode ASCII akan menghasilkan 8 kode kombinasi yang berbeda. Contoh : Menggunakan tabel ASCII kita dapat memperoleh kode ASCII hurup P yaitu :. 6

7 BAB II ALJABAR BOOLEAN Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa. Fungsi OR (X=A+B) adalah Boolean penambahan dan fungsi AND (X=AB) adalah Boolean perkalian.. Hukum Pertukaran (Komutatif) Penambahan: A+B = B+A. Hukum Asosiatif Penambahan: A+(B+C) = (A+B)+C Perkalian: A(BC) =(AB)C 3. Hukum Distributif A(B+C) = AB + AC (A+B)(C+D) = AC+AD+BC+BD Tiga hukum ini mempunyai kebenaran untuk beberapa bilangan variabel. Hukum penambahan dapat dipakai pada X=A+BC+D untuk bentuk persamaan X=BC+A+D Tabel. Hukum dan peraturan Aljabar Boolean Hukum Aljabar Boolean Peraturan Aljabar Boolean. A+B=B+A. A.= AB=BA. A.=A. A+(B+C) = (A+B)+C 3. A+=A A(BC) =(AB)C 4. A+= A(B+C) = AB + AC 5. A+A=A 3. (A+B)(C+D) = 6. A.A=A AC+AD+BC+BD 7. A. A = 8. A+ A = 9. A =A. A+ A B=A+B. A +AB= A +B 7

8 Teorema lain yang digunakan dalam gerbang digital ialah teorema De Morgan. Teorema De Morgan dapat dinyatakan dalam persamaan berikut: A. B = A + B A + B = A. B rumus diatas berlaku untuk tiga variabel atau lebih. II.. Bentuk Standar (Kanonik) Fungsi Boolean Contoh:. Tentukan bentuk sum of product (SOP) dari fungsi Boolean berikut: F(A,B,C,D) = (AC+B)(CD+D ) Jawab: F(A,B,C,D) = (AC+B)(CD+D ) = ACCD+ACD +BCD+B D = ACD+ACD +BCD+B D = ACD(B+B )+AC D (B+B )+BCD(A+ A )+B D (A+ A )(C+C ) = ABCD+AB CD+ABC D +AB CD +ABCD+ A BCD + BD (AC+AC + A C+ A C ) = ABCD+A B CD+ABC D +AB CD +ABCD+ A BCD+ABC D + ABC D + A BCD + A BC D = ABCD+A B CD+ABC D + AB C D + A BCD+ ABC D + A BCD + A BC D. Tentukan bentuk product of sum (POS) dari fungsi Boolean berikut: F(A,B,C,D) = A+(C+ B D ) Jawab: F(A,B,C,D) = A+(C+ B D ) = A+(C+ B )(C+D ) = A+XY = (A+X)(A+Y) = (A+C+ B )(A+ C+D ) = (A+C+ B +DD )(A+ C+ D +BB ) 8

9 = (U+ D D )(V+ BB ) = (U+ D)(U+D )(V+B)(V+ B ) = (A+B +C+D)(A+B +C+ D )(A+B+C+ D )(A+B +C+ D ) II... Bentuk Minterm dan Materm Fungsi Boolean Tinjau tabel kebenaran berikut : Tabel. Tabel Kebenaran Nomor A B C F(A,B,C) desimal Bentuk minterm dari fungsi F(A,B,C) adalah: F(A,B,C) = m(,4,5,7) = A B C +AB C +AB C+ABC Bentuk materm dari fungsi F(A,B,C) adalah: F(A,B,C) = M(,,3,6) = (A+B+C )(A+B +C)(A+ B +C )( A +B +C) 9

10 BAB III PETA KARNAUGH Metode ini merupakan suatu cara untuk menyederhanakan rangkaian logika dan diberi nama sesuai nama penemunya. Karnaugh map (K-Map) mirip dengan tabel kebenaran yang menampilkan output persamaan Boolean untuk tiap kemungkinan kombinasi variabel input. Menentukan jumlah sel pada K-Map identik dengan mencari jumlah kombinasi sebuah tabel kebenaran. K-map dengan variabel menbutuhkan atau 4 sel, K-map dengan 3 variabel membutuhkan 3 atau 8 sel, dst. Tiap sel dalam K- map berhubungan dengan kombinasi tertentu dari variabel input. K-map Variabel : 4 Sel B B A A K-map 3 Variabel : 8 Sel C C A B A B AB AB K-map 4 Variabel : 6 Sel C D C D CD CD A B A B AB AB K-map 5 Variabel : 3 Sel D E D E DE DE A B C A B C A BC A BC AB C AB C ABC ABC

11 BAB IV GERBANG LOGIKA Gerbang Logika adalah suatu komponen yang paling dasar pada suatu rangkaian Digital. Seluruh aplikasi rangkaian Digital adalah terdiri dari ribuan atau bahkan jutaan dari rangkaian gerbang Logika yang sudah terpaket dalam IC (Integrated Circuit), Chip atau bahkan processor untuk menghasilkan fungsi-fungsi tertentu. Gerbang Logika adalah suatu fungsi yang akan menghasilkan satu keluaran logika dari beberapa masukan logika dimana persamaan dari fungsi gerbang logika tersebut dituangkan pada suatu persamaan yang disebut dengan persamaan Boolean. Pada dasarnya gerbang Logika hanya terdiri dari 3 gerbang logika dasar, yaitu gerbang AND, Gerbang OR dan gerbang NOT. Sedangkan gerbang-gerbang tambahan lain seperti gerbang NAND dan NOR adalah gabungan dari 3 gerbang logika dasar tersebut. Gerbang NAND adalah gabungan dari gerbang logika AND dan NOT dan gerbang NOR adalah gabungan dari gerbang logika OR dan NOT. Sifat dan karakteristik suatu gerbang logika dapat dijelaskan pada suatu tabel kebenaran berikut IV.. Gerbang Logika OR (OR Gate) Gerbang logika OR adalah suatu rangkaian logika yang mempunyai beberapa jalan masukkan dan hanya mempunyai satu jalan keluaran. Keluarannya akan tinggi, bila salah satu inputnya tinggi dan akan menjadi rendah bila semua inputnya rendah. Simbol gerbang logika OR, tabel kebenaran dan analogi rangkaiannya dapat dilihat pada Gambar 4. Tabel 4. pada halaman berikutnya. A B C X X = F = A + B + C (a) Simbol Gerbang OR A B V C F (b) analogi rangkaian. Gambar 4. Simbol dan rangkaian gerbang OR

12 Tabel 4. Tabel kebenaran Gerbang OR 3 input Input Output A B C F Pada Gambar 4..b. lampu akan menyala bila salah satu atau semua switch dalam keadaan tertutup. Pernyataannya adalah F = A + B + C dan dibaca F = A or B or C bukan dibaca F = A ditambah B ditambah C. IV.. Gerbang Logika AND (AND Gate) Gerbang and adalah suatu rangkaian logika yang mempunyai beberapa jalan masukkan dan hanya mempunyai satu jalan keluaran. Jika semua input tinggi maka outputnya akan tinggi, selain dari itu maka outputnya akan rendah. Simbol gerbang logika AND analogi rangkaian dan tabel kebenarannya dapat dilihat pada Gambar 4. berikut dan Tabel 4. halaman selanjutnya. A B C X X = F = A B C (a) Simbol Gerbang AND A B C V F (b) analogi rangkaian Gambar 4. Simbol dan rangkaian gerbang AND

13 Tabel 4. Tabel kebenaran gerbang AND 3 input Input Output A B C F Persamaan logikanya F = A B C dibaca F = A and B and C, bukan dibaca dengan F = A dikali B dikali C. Pada gambar 4. (b) lampu akan menyala ( F= ) bila ketiga saklar A, B, C menutup ( A=, B=, C= ). Bila salah satu atau semuanya terbuka maka lampu akan padam ( F = ). IV.3. Inverter ( Gerbang NOT ) Gerbang NOT adalah gerbang dengan satu sinyal masukkan dan satu sinyal keluaran. Gerbang NOT ini juga disebut dengan inverter, karena sinyal masukkan selalu berlawanan dengan sinyal keluaran. Simbol gerbang logika NOT analogi rangkaian dan tabel kebenarannya dapat dilihat pada Gambar 4.3 dan Tabel 4.3 halaman berikutnya.. A A (a) Simbol gerbang NOT V A F (b) Analogi rangkaian. Gambar 4.3 Simbol dan rangkaian gerbang NOT 3

14 Tabel 4.3 Tabel kebenaran Gerbang NOT Input output Pada Gambar 4.3 (b) jika saklar dibuka semua arus akan mengalir kearah lampu maka lampu akan menyala. Jika saklar ditutup semua arus akan melalui saklar (rangkaian dalam keadaan di short circuit) maka lampu akan padam. Ekspresi booleannya F = Ā. IV.4. Gerbang Logika NAND ( NAND Gate ) Gerbang logika NAND adalah rangkaian logika yang dibangun oleh gerbang NOT dan gerbang AND. Gerbang ini mempunyai beberapa jalan masukkan dan hanya satu jalan keluaran. Keluaran gerbang NAND akan berharga rendah jika semua input adalah, dan berharga jika salah satu input saja ada yang berharga. Simbol gerbang logika NAND, analogi rangkaian dan tabel kebenarannya dapat dilihat pada Gambar 4.4 dan Tabel 4.4 halaman selanjutnya. A B C X A B C X X = F = A B C (a) Gerbang NAND A V B F C (b) Analogi rangkaian Gambar 4.4 Simbol dan rangkaian gerbang NAND 4

15 Tabel 4.4 Tabel kebenaran gerbang NAND 3 input Input Output A B C F Pada Gambar 4.4 (b) lampu akan menyala bila salah satu atau semua saklar dalam keadaan terbuka. Arus akan mengalir kearah lampu. Bila semua saklar tertutup maka lampu akan padam karena arus akan mengalir ke cabang saklar dan rangkaian dalam keadaan short circuit. IV.5. Gerbang EXOR Gerbang EXOR menghasilkan output tinggi ketika salah satu atau semua input adalah tinggi. Gerbang ini mempunyai beberapa jalan masuk dan hanya satu jalan keluaran. Lambang gerbang EXOR, analogi rangkian dan tabel kebenarannnya pada dilihat pada Gambar 4.5 dan Tabel 4.5 halaman selanjutnya. A B X (a) Gerbang EXOR A X B (b) Analogi rangkaian Gambar 4.5 Simbol dan rangkaian gerbang EXOR 5

16 Tabel 4.5 Tabel kebenaran gerbang EXOR Input Output B A F Pada Gambar 4.5 (b), dimana lampu akan menyala jika semua switch diset pada posisi yang berlawanan dan. Operasi Eor kadang-kadang disebut penambahan mod. Penambahan mod sama dengan penambahan biner, asalkan kita mengabaikan bawaan (carry) + = + = + = + = IV.6. Schmitt Trigger Gerbang Schmitt Trigger adalah gerbang logika yang mempunyai output yang sama dengan gerbang logika biasa tetapi gerbang schmitt trigger inputnya tidak mempunyai harga mutlak atau 5 volt. Gerbang schmitt trigger untuk logika ke dan logika ke mengenal istilah LTP (logika ke ) dan UTP (logika ke ). Gambar simbol dari gerbang schmitt trigger ditunjukkan oleh Gambar 4.6 berikut. Gambar 4.6 Simbol dari schmitt trigger untuk gerbang logika AND, OR dan NOT Lower Transfer Point atau disingkat dengan LTP adalah suatu titik kritis dimana suatu sinyal analog diubah dari kondisi rendah menjadi kondisi tinggi. Upper Transfer Point atau disingkat dengan UTP adalah suatu titik kritis dimana suatu sinyal analog diubah dari kondisi tinggi menjadi rendah. Gambar 4.7 memperlihatkan suatu contoh sinyal 6

17 masukkan analog disertai dengan titik UTP dan LTP dan bentuk keluaran gelombang persegi schmitt trigger. + V UTP LTP Gelombang Masukkan - V + 5 V Gelombang keluaran schmitt triger V Gambar 4.7 Gelombang masukkan dan gelombang keluaran schmitt trigger 7

18 BAB V RANGKAIAN KOMBINASIONAL Rangkaian Logika digital terdiri dari kategori :. Rangkaian Logika Kombinasional. Rangkaian Logika Sekuensial Pada rangkaian logika kombinasional nilai keluaran ditentukan secara terus oleh nilai masukan sekarang. Gambar 5. Combinational Logic Function V. RANGKAIAN PENJUMLAH [ADDER] V.. HALF ADDER Rangkaian dasar penjumlah yang dipakai untuk menambah -bit bilangan biner dengan masukkan dua input (A dan B) Rangkaian mempunyai dua keluaran : Sum (hasil jumlah) dan Carry (simpan) Tabel 5. Tabel kebenaran HA No Input Output A B Sum Carry 3 Persamaan output : Sum = m [,] = AB + A B = A B A A B B AB A B Gambar 5. K-Map persamaan output HA 8

19 Carry = m [3] = AB Rangkaian logikanya : A B Carry = AB Sum = A B Gambar 5.3 Rangkaian HA Blok diagram : A B HA Carry Sum Gambar 5.4 Blok diagram HA V.. FULL ADDER [FA] Rangkaian penjumlah yang dipakai untuk menambahkan -bit bilangan biner dengan masukkan tiga input (A, B dan C i ) Blok diagram : A B C i FA Carry Out = C o Sum = S Gambar 5.4 Blok diagram FA Tabel kebenarannya : Tabel 5. Tabel kebenaran FA No Input output C i B A S C O C i = incoming carry C o = outgoing carry S = Sum 9

20 Persamaan output : Sum = m [,,4,7] = CI B A + CI B A + C i B A + C i BA = C i B A C o = m [3,5,6,7] = C i B + C i A + BA Rangkaian Logikanya : A B S C i C o Gambar 5.5 Rangkaian Logika FA Rangkaian menggunakan HA + OR : A B C i HA HA S C o Gambar 5.6 Blok FA menggunakan HA + OR HA A B S C i C o HA Gambar 5.7 Rangkaian Logika FA menggunakan HA + OR

21 V..3 PARALEL BINARY ADDER Digunakan untuk penambahan bilangan biner yang terdiri dari beberapa bit Diperlukan rangkaian FA sebanyak jumlah bit dari setiap bilangan biner Contoh : penambahan bilangan biner 4-bit C 3 C C C A : A 3 A A A B : B 3 B B B C 3 S 3 S S S A : B : Untuk melakukan proses itu diperlukan rangkaian paralel binary adder 4-bit B 3 A 3 B A B A B A C C C FA FA FA HA C 3 S 3 S S S Gambar 5.8 Blok paralel binary Adder 4-bit V. RANGKAIAN PENGURANG [SUBTRACTOR] V.. HALF SUBTRACTOR [HS] Digunakan untuk mengurangi dua bilangan pada tingkat pertama (masingmasing -bit) Rangkaian mempunyai dua keluaran :. Difference (D) : selisih. Borrow (B) : pinjam Bilangan pengurang (Subtrahend) : Z Bilangan yang dikurangi (Minuend) : Y

22 Tabel kebenarannya : Tabel 5.3 Tabel kebenaran HS No Input Output Y Z D B 3 Persamaan output : D = m [,] = Y Z + Y Z = Y Z B = m [] = Y Z Rangkaian Logikanya : Y Z D Gambar 5.9 Rangkaian Logika HS B V.. FULL SUBTRACTOR [FS] Pada tingkat kedua dstnya, akan diperkurangkan tiga buah bilangan karena ada kemungkinan timbulnya borrow dari tingkat yang lebih rendah. Tabel kebenaran : Tabel 5.4 Tabel kebenaran FS No Input output Y Z B i D B O B i = Borrow input D = Deference B o = Borrow ouput

23 Persamaan ouput : D = m [,,4,7] = Y Z B i B o = m [,,3,7] = Y Z + Z B i +Y B i Rangkaian Logikanya : Y Z D B i B o Gambar 5. Rangkaian Logika FS Rangkaian menggunakan HS + OR : B i HS D Z Y HS B o Gambar 5. Blok Diagram FS mengunakan HS + OR 3

24 HS Z Y D B i B o HS Gambar 5. Rangkaian Logika FS mengunakan HS + OR V..3 PARALEL SUBTRACTOR Digunakan untuk pengurangan bilangan biner beberapa bit Diperlukan rangkaian FS sebanyak jumlah bit dari setiap bilangan biner Contoh : pengurangan bilangan biner 4-bit B 3 B B Y : Y 3 Y Y Y Z : Z 3 Z Z Z D 3 D D D Y : Z : Untuk melakukan proses itu diperlukan rangkaian paralel binary subtractor 4-bit Y 3 Z 3 Y Z Y Z Y Z B 3 B HS FS FS FS B D 3 D D D Gambar 5.3 Blok Diagram parallel binary Subtractor 4-bit 4

25 V.3 MULTIPLEKSER V.3. PENDAHULUAN Sebuah rangkaian multiplekser akan menerima N masukan dan meneruskan satu dari N masukan tersebut. Pemilihan masukan mana yang diteruskan melalui M masukan control. Sebuah multiplekser dengan M masukan control dapat menangani hingga M masukan. Perhatikan gambar berikut : M input... output M control inputs Gambar 5.4 Blok diagram Multiplekser Rangkaian multiplekser yang paling sederhana adalah multiplekser dengan masukan control, sehingga hanya ada macam masukan yang bisa diteruskan salah satunya. Multiplekser ini dinamakan multiplekser -ke-, perhatikan tabel kebenaran dan gambar berikut : Tabel 5.5 Tabel kebenaran multiplekser -ke- control Input Input ouput X X X X Input output Control Input Gambar 5.5 Multiplekser -ke- 5

26 V.3. UNIVERSAL LOGIC MODULE (ULM) DENGAN MULTIPLEKSER Sebuah modul logic universal (ULM), dengan beberapa variabel yang ditentukan adalah sebuah modul yang mampu mengimplementasikan sembarang fungsi logic berdasar sejumlah variabel yang ditentukan. Jika jumlah variabel fungsi logic tersebut lebih besar dari kapasitas modulnya maka dapat digunakan beberapa modul yang sama yang disusun dalam suatu larik. Perhatikan sebuah fungsi logic umum dengan n-variabel F(X, X, X 3,,X n ). Jika fungsi ini harus direalisasi dengan modul tiga variabel dan n 3, maka menggunakan teorema Ekspansi Shannon, fungsi tersebut diekspansi terhadap variabelnya, misalnya dalam hal ini X dan X digunakan sebagai masukan control, maka fungsi logic tersebut bisa dituliskan : + = ),..., (,, ),...,, ( 3 n n f f + ),...,,, ( 3 n f + ),...,,, ( 3 n f ),...,,, ( 3 n f Jika ekspansi ini dilanjutkan, sisi-sisi fungsi f(,, 3,.., n ) sampai dengan f(,, 3,.., n ) juga diekspansi berdasar masukan control dari modul kedua dan seterusnya, hingga hanya tinggal fungsi logic dengan satu variabel saja. Perhatikan contoh berikut : f = jika Muks 4 (8-ke-) variabel digunakan, fungsi logic tersebut dapat diimplementasikan melalui persamaan : ) ( ) ( () () ) ( () ) ( ) ( f = 6

27 rangkaianya: f Gambar 5.6 Muks 8-ke- Jika yang digunakan adalah Muks dua dan tiga variabel, maka fungsi logikanya menjadi f = [ [ 3( 4 ) + 3() + 3 ( 3 4 () + ) + 3( 3() + 4 ) + 3 ()] + 3 ( 4 )] rangkaianya : f Gambar 5.7 Muks 4-ke- Jika dimungkinkan untuk menggunakan semua variabel (4 variabel) sebagai masukkan control semua maka persamaannya menjadi: 7

28 f = 3 4() + 3 () + 4() + ()] + [ [ () + 3 () + 4() + ()] + [ () + 3 () + 4() + ()] + [ () + 3 () + 4() + ()] + Rangkaianya : f Gambar 5.8 Muks Sistem Hirarki Modul 8

29 X 3ns X 3ns 5ns F X 3 3ns X 4 3ns S S ns ns Gambar 5.9 Multiplekser 4-ke- V.4 DEMULTIPLEKSER Fungsi rangkaian ini berlawanan dengan multiplekser, rangkaian ini mempunyai satu masukan dan memilih salah satu dari masukan untuk dikendalikan ke salah satu keluaran. Keluaran yang dipilih bergantung kepada sinyal pemilih (bit control). Gambar 5. Blok Demultiplekser 9

30 Demultiplekser -ke- Gambar 5. Blok Demultiplekser ke Tabel kebenaran: Tabel 5.6 Tabel kebenaran Demultiplekser ke Bit kontrol Output S Q Q I n I n Fungsi keluaran: Q = SI n Q = SI n Rangkaianya: Gambar 5. Demultiplekser ke 3

31 Demultiplekser -ke-4 Gambar 5.3 Demultiplekser ke4 Tabel kebenaran: Tabel 5.7 Tabel kebenaran Demultiplekser ke4 Bit kontrol Output S S Q3 Q Q Q I n I n I n I n Fungsi keluaran: Q = S S I n Q = S S I n Q = S SI n Q = 3 SSI n 3

32 Rangkaianya: S S I n Q Q Q Q 3 Gambar 5.4 Demultiplekser ke4 Demultiplekser -ke-8 Gambar 5.5 Blok Demultiplekser ke8 3

33 Tabel kebenaran: Tabel 5.8 Tabel kebenaran Demultiplekser ke8 Bit kontrol Output S S S Q7 Q6 Q5 Q4 Q3 Q Q Q I n I n I n I n I n I n I n I n Rangkaiannya: Gambar 5.6 Demultiplekser ke8 33

34 V.5 ENCODER DAN DECODER V.5. Pendahuluan Pada umumnya digunakan kode desimal untuk menyatakan angka. Pada rangkaian elektronika Digital baik pada kalkulator atau pada komputer, kode biner adalah kode yang digunakan untuk menyatakan angka, huruf, gambar ataupun datadata yang lain. Sedangkan tampilan-tampilan pada layar elektronik seperti tampilan seven segment, LCD, dot matrik atau jenis tampilan lain adalah berasal dari kode biner yang kemudian diterjemahkan menjadi kode-kode tertentu untuk dapat ditampilkan pada layar elektronik tersebut. Rangkaian yang berfungsi untuk mengubah kode-kode tertentu menjadi kode biner disebut dengan Encoder atau pengkode dan sebaliknya rangkaian yang berfungsi untuk mengubah kode biner menjadi kode-kode tertentu disebut dengan Decoder atau Pendekode. Rangkaian Encoder atau Decoder seperti rangkaian-rangkaian Digital lainnya adalah terdiri dari rangkaian gerbang logika sehingga akan menghasilkan suatu fungsi-fungsi tertentu yang dalam hal ini adalah berfungsi sebagai rangkaian Pengkode atau pendekode. Tetapi rangkaian Encoder dan Decoder saat ini telah dibuat dalam paket IC (Integrated Circuit) sehingga akan memudahkan dalam penggunaannya. Rangkaian Encoder atau Decoder memiliki banyak type rangkaian tergantung dari jenis kode yang akan diterjemahkan. Tetapi pada bab ini akan membahas rangkaian Encoder yang berfungsi untuk mengubah kode urutan desimal menjadi kode biner, rangkaian Decoder yang berfungsi untuk mengubah kode biner menjadi kode urutan desimal dan rangkaian Decoder yang berfungsi untuk mengubah kode biner menjadi kode untuk tampilan seven segment. V.5. Rangkaian Encoder Rangkaian Encoder yang akan menghasilkan data kode biner dari masukan data kode urutan desimal dengan data input (kode urutan desimal) dan data output (kode biner) seperti pada blok rangkaian pada gambar 5. dan tabel 5. berikut : 34

35 +5V PB D PB PB 3 PB 4 PB 5 PB 6 PB 7 R R R R R R D D3 D4 D5 D6 D7 E N C O D E R QA QB QC Biner Output PB 8 PB 9 R R D8 D9 QD R = 4,7K Gambar 5.7 Blok rangkaian Encoder Tabel 5.9 Tabel Kebenaran Encoder Data Input Data Output D D D 3 D 4 D 5 D 6 D 7 D 8 D 9 Q D Q C Q B Q A 35

36 Latihan:. Tentukan persamaan aljabar Boolean untuk mendapatkan perencanaan rangkaian Encoder berdasarkan kesimpulan yang diambil dari tabel data input/output sebagai berikut : a. Output A akan berlogika (tinggi) hanya apabila ditekan tombol angka atau 3 atau 5 atau 7 atau 9. b. Output B akan berlogika (tinggi) hanya apabila ditekan tombol angka atau 3 atau 6 atau 7 c. Output C akan berlogika (tinggi) hanya apabila ditekan tombol angka 4 atau 5 atau 6 atau 7 d. Output D akan berlogika (tinggi) hanya apabila ditekan tombol angka 8 atau 9. Buatlah rangkaian digital berdasarkan persamaan boolean yang telah ditentukan? V.5.3 Rangkaian Decoder Sebuah rangkaian Decoder yang akan menghasilkan data kode urutan desimal dari masukan data kode biner dengan data input (kode biner) dan data output (kode urutan desimal) seperti pada blok rangkaian pada gambar 5. dan tabel 5. berikut +5V Q PB DA Q PB PB 3 R R R DB DC D E C O D E R Q3 Q4 Q5 Q6 Q7 Desimal Output PB 4 DD Q8 R R = 4,7K Q9 Gambar 5.8 Blok rangkaian Decoder 36

37 Tabel 5. Tabel Kebenaran Decoder Data Input Data Output Q D Q C Q B Q A D D D 3 D 4 D 5 D 6 D 7 D 8 D 9 Latihan:. Tentukan persamaan aljabar Boolean untuk mendapatkan perencanaan rangkaian Decoder berdasarkan kesimpulan yang diambil dari tabel data input/output sebagai berikut : a. Output akan berlogika hanya apabila diberikan data masukan : A =, B =, C = dan D =. b. Output akan berlogika hanya apabila diberikan data masukan : A =, B =, C = dan D =. c. Output akan berlogika hanya apabila diberikan data masukan : A =, B =, C = dan D =. d. Output akan berlogika hanya apabila diberikan data masukan : A =, B =, C = dan D =. e. Dan seterusnya. Buatlah rangkaian berdasarkan aljabar boolean yang telah ditentukan? V.5.4 Rangkaian Decoder Seven Segment Sebuah rangkaian Decoder seven segment yang akan menghasilkan data tampilan seven segment dari masukan data kode urutan desimal dengan data input (kode urutan desimal) dan data output (data tampilan seven segment) seperti pada blok rangkaian pada gambar 5.3 dan tabel berikut. 37

38 a a b c d e kathode b c d e + Vcc anode f f g g (a) Jenis common katoda (b) Jenis common anoda D C B 3 A Decoder BCD ke 7-segmen a b c d e f g (c) Hubungannya dengan dekoder D C B 3 A Decoder BCD ke 7-segmen a b c d e f g + Vcc anode (d) Hubungannya dengan dekoder Gambar 5.9 Peraga 7-segmen Terlihat bahwa peraga 7-segmen jenis common katoda memerlukan dekoder dengan output jenis aktif-tinggi untuk menyalakan segmen-segmennya. Sedangkan jenis common anoda memerlukan dekoder dengan output jenis aktif-rendah seperti ditunjukkan pada Gambar 5.3.(d) Untuk mempelajari dekoder jenis ini perlu disusun terlebih dahulu tabel kebenarannya. 38

39 +5V DECODER 7 SEGMENT Konfigurasi rangkaian 7 Segment PB PB PB 3 PB 4 PB 5 PB 6 PB 7 PB 8 PB 9 R R R R R R R R D D D3 D4 D5 D6 D7 D8 D9 D E C O D E R a b c d e f g ohm R = 4,7K Gambar 5.3 Rangkaian Decoder seven segment Tabel 5. Tabel Kebenaran decoder seven segment Data Input Data Output (segment) Tampilan 7 Segment D D D D 3 D 4 D 5 D 6 D 7 D 8 D 9 a b c d e f g Digit angka

40 Latihan:. Tentukan persamaan aljabar Boolean untuk mendapatkan perencanaan rangkaian Decoder berdasarkan kesimpulan yang diambil dari tabel data input/output sebagai berikut a. Output segment a akan berlogika (tinggi) hanya apabila input atau atau 3 atau 5 atau 7 atau 8 atau 9 diberi masukan tinggi. b. Output segment b akan berlogika (tinggi) hanya apabila input atau atau atau 3 atau 4 atau 7 atau 8 atau 9 diberi masukan tinggi. c. Output segment c akan berlogika (tinggi) hanya apabila input atau atau 3 atau 4 atau 5 atau 6 atau 7 atau 8 atau 9 diberi masukan tinggi. d. Output segment d akan berlogika (tinggi) hanya apabila input atau atau 3 atau 5 atau 6 atau 8 diberi masukan tinggi. e. Output segment e akan berlogika (tinggi) hanya apabila input atau atau 6 atau 8 diberi masukan tinggi. f. Output segment f akan berlogika (tinggi) hanya apabila input atau 4 atau 5 atau 6 atau 8 atau 9 diberi masukan tinggi. g. Output segment g akan berlogika (tinggi) hanya apabila input atau 3 atau 4 atau 5 atau 6 atau 8 atau 9 diberi masukan tinggi.. Atau kesimpulan yang diambil dari tabel data input/output bisa juga sebagai berikut a. Output segment a akan berlogika hanya apabila input atau 4 atau 6 diberi masukan tinggi b. Output segment b akan berlogika hanya apabila input 5 atau 6 diberi masukan tinggi c. Output segment c akan berlogika hanya apabila input diberi masukan tinggi d. Output segment d akan berlogika hanya apabila input atau 4 atau 7 atau 9 diberi masukan tinggi e. Output segment e akan berlogika hanya apabila input atau 3 atau 4 atau 5 atau 7 atau 9 diberi masukan tinggi f. Output segment f akan berlogika hanya apabila input atau atau 3 atau 7 diberi masukan tinggi g. Output segment g akan berlogika hanya apabila input atau atau 7 diberi masukan tinggi 3. Buatlah rangkaian berdasarkan aljabar boolean yang telah ditentukan? 4

41 V.6 KOMPARATOR [RANGKAIAN PEMBANDING] Merupakan rangkaian digital yang boleh membandingkan nilai antara dua nilai biner. pembandingan dilakukan untuk kedua-dua nomor tersebut adalah: Sama dengan = Lebih kecil < Lebih besar > Lebih kecil atau sama dengan Lebih besar atau sama dengan Pembanding bit merupakan pedoman kepada pembanding bit yang lebih besar. Pembandingan dua nomor bit A > B Persamaan Booleannya: F ( A, B) = A B A < B Persamaan Booleannya: F ( A, B) = A B A = B Persamaan Booleannya: F( A, B) = A B A B Persamaan Booleannya: F ( A, B) = A B A B Persamaan Booleannya: F ( A, B) = A B 4

42 Pembandingan dua nomor bit, A A dan B B A A > B B Analisa dilakukan terhadap bit;. A > B maka F ( A, B) = A B. A = B dan A > B F ( A, B) = ( A B )( A ) Maka persamaan ouputnya: B F ( A, A, B, B ) = A B + ( A B )( A ) B = A B + [( A B) + ( A B )]( A ) B = A + B + A A B B A A B B Dengan K-MAP dapat disederhanakan menjadi: A A A A A A A A B B B B B B B B = A + B + A B B A A B Latihan : Desain suatu rangkaian digital yang membandingan dua nomor 3 bit, A A A dan B B B, keluaran pembanding tersebut adalah: a. Z =, apabila 3 bit bilangan biner yang dibandingkan sama A A A = B B B b. Z =, apabila A A A > B B B c. Z =, apabila A A A < B B B 4

43 BAB VI RANGKAIAN SEKUENSIAL VI.I FLIP-FLOP Pada rangkaian logika kombinasional (AND gate-or Gate-NAND Gate-NOR Gate-Not Gate) keadaan outputnya hanya tergantung pada kondisi inputnya, dimana begitu inputnya berubah maka keadaan outputnya akan ikut berubah pula. Keadaan seperti ini sangat tidak diharapkan untuk tujuan membuat rangkaian memory (pengingat) yang dipergunakan sebagai dasar untuk membuat rangkaian logika pada komputer. Untuk mengatasi hal tersebut diatas, maka diperlukan suatu rangkaian logika yang outputnya tidak selalu tergantung pada inputnya tetapi juga tergantung pada output sebelumnya, sehingga rangkaian ini mempunyai kemampuan mengingat yang baik. Rangkaian seperti ini disebut rangkaian logika sekuensial. Sebagai rangkaian dasar yang dapat dipakai untuk membuat rangkaian logika sekuensial adalah rangkaian Flip-Flop atau disebut juga Bistabil Multivibrator. Rangkaian Flip-Flop pada dasarnya mempunyai dua keadaan stabil sebelum ada pulsa pada inputnya. VI.I.. D Flip-Flop Flip-flop D terdiri dari tiga input yaitu D, input clock, input clear. Flip-flop D juga terdapat dua output, yaitu output Q dan output Q. Lambang Flip-flop D dan tabel kebenarannya dapat dilihat pada Gambar 6.(b) dan Tabel 6. pada halaman selanjutnya. (a) Flip-flop D dibangun dengan Gerbang NAND 43

44 D Q CLK CLR Q (b) D Flip-flop Gambar 6. Simbol dan rangkaian Digital D flip flop Tabel 6. Tabel kebenaran D Flip-flop Clr Clk D Q Q Keterangan X X X Q= Q = D Q = D Pada tabel kebenaran terlihat bahwa apabila masukkan clock tidak diberi sinyal clock maka akan terjadi perubahan pada keluaran Q. Bila Flip-flop D tersebut diberi sinyal clock maka masukkan Q akan sama dengan masukkan D atau dengan kata lain masukkan D dapat disimpan dan ditampilkan pada keluaran Q nya. Rangkaian D flipflop adalah sebagai dasar dari rangkaian register. VI.I.. JK Flip-flop JK Flip-flop terdiri dari tiga input yaitu input J input K, input clock, input clear dan dua output, yaitu output Q dan output Q. Lambang JK Flip-flop dapat dilihat pada gambar berikut JK Flip-flop terdiri dari empat input yaitu input J, input K, input clock, dan input clear. JK Flip-flop juga terdapat dua output yaitu output Q dan output Q. Lambang JK Flip-flop dan tabel kebenarannya dapat dilihat pada Gambar 6. dibawah ini dan Tabel 6. halaman selanjutnya. 44

45 (a) JK Flip-flop dibangun dengan Gerbang logika (b) JK Flip-flop Gambar 6. Simbol dan rangkaian Digital JK flip flop Sifat dan Karakteristik JK Flip-Flop dapat dijelaskan pada tabel kebenaran berikut Tabel 6. Tabel kebenaran JK Flip-flop Clr Clk J K Q Q Keterangan X X X X Q = J K maka Q = j J K maka Q = j Toggle Toggle Memory Memory 45

46 Pada tabel kebenaran diatas terlihat bahwa keluaran Q JK Flip-flop tergantung dari pemeberian sinyal clock. Bila masukkan clock diberi masukkan perubahan kondisi sinyal dari tinggi ke rendah (sinyal clock), maka output Q akan berubah. Perubahan Q tergantung dari perubahan pada masukkan J dan K. Pada saat masukkan J berlawanan dengan masukkan K maka keluaran Q akan sama dengan masukkan J jika diberi masukkan sinyal clock. Pada saat masukkan J dan K adalah tinggi maka keluaran Q sesudah datang sinyal clock akan berkebalikkan dari keluaran Q sebelum (toggle). Pada saat masukkan J dan K adalah rendah maka keluaran Q sesudah datang sinyal clock akan sama dari keluaran Q sebelum (memory). Masukkan J, masukkan K dan masukkan clock akan berfungsi selama masukkan clear adalah tinggi. Apabila masukkan clear rendah maka apapun masukkan J, masukkan K dan masukkan clock mengakibatkan keluaran Q adalah rendah. 46

47 VI. PENCACAH (COUNTER) Pencacah merupakan suatu rangkaian logika yang berfungsi untuk mencacah jumlah pulsa pada bagian input dan keluaran berupa digit biner, dengan saluran tersendiri untuk pangkat dua,, dan seterusnya. Pencacah terdiri dari flip-flop yang diserikan dimana keadaan arus keluarannya ditahan sampai ada clock. Adapun rangkaian dasar dari sebuah pencacah adalah seperti terlihat pada Gambar 7. berikut. Keluaran biner Q A Q B Q Q C D masukkan pulsa J Q J Q J Q J Q CLK CLK CLK CLK masukkan clear K CLR Q K CLR Q K CLR Q K CLR Q 5 V Gambar 6.3 Rangkaian pencacah Pada rangkaian pencacah menggunakan beberapa buah JK Flip-flop. Dimana rangkaian pencacah tersebut merupakan sebuah counter 4 bit yang dapat menghitung dari sampai dengan atau dari sampai 5 dalam desimal. Hasil perhiutngan counter ditampilkan pada output Q A, Q B, Q C, dan Q D dimana Q A adalah keluaran biner dengan bobot terkecil atau disebut dengan LSB (Least Significant Bit) dan Q D adalah keluaran biner dengan bobot terbesar atau disebut MSB (Most Significant Bit). Pada rangkaian masing-masing JK Flip-flop mengubah output Q menjadi kebalikkan dari keluaran awal pada saat pulsa masukkan berubah dari kondisi tinggi ke rendah ( sinyal clock ). Bentuk masukkan pulsa dan keluarannya dapat dilihat pada Gambar 7. berikut. 5 V masukkan pulsa 5 V Q A 5 V Q B 5 V Q C 5 V Q D Gambar 6.4 Masukkan pulsa dan keluaran biner counter. 47

48 Oleh karena penggunaan counter sangat luas maka rangkaian counter dibuat dalam bentuk IC (Integrated Circuit) yang salah satu diantaranya adalah jenis IC CMOS 49 yang memiliki beberapa kemampuan yang lebih kompleks, yang diantaranya adalah dapat menghitung maju atau mundur, dapat memberikan nilai awal pada perhitungan pulsa, dapat memberikan pulsa keluaran untuk tiap satu siklus perhitungan pulsa dan kemapuan-kemampuan lain yang menjadikan IC counter tersebut lebih fleksibel dalam penggunaanya. Bentuk IC disertai dengan fungsi-fungsi pinout dapat dilihat pada Gambar 7.3 berikut. +V CLK QC DC DB QB U/D B/D IC PR QD DD DA Ci QA Co GND Gambar 6.5 IC 49 dan pinout Fungsi dan karakteristik tiap pinout sebagai berikut :. Input Clock (CLK) berfungsi sebagai masukan pulsa (pin 5). Output Biner QA, QB, QC, dan QD berfungsi sebagai tampilan hasil perhitungan pulsa berupa kode-kode biner (pin 6,,4 dan ). 3. Up/Down (U/D) berfungsi sebagai masukan untuk pengontrolan perhitungan maju dengan diberi masukan tinggi atau perhitungan mundur dengan diberi masukan rendah (pin ). 4. Binery / Decade (B/D) befungsi sebagai masukan untuk pengontrolan counter pada perhitungan binery yaitu dari () sampai (5) dengan diberi masukan tinggi atau perhitungan decade yaitu dari () sampai (9) dengan diberi masukan rendah (pin9). 5. Carry in (Ci) berfungsi sebagai masukan untuk pengontrolan penghentian perhitungan pulsa dengan diberi masukan tinggi (pin 5). 6. Carry out (Co) berfungsi untuk memberikan keluaran rendah untuk tiap akhir siklus perhitungan pulsa (pin 7). 7. Preset (PR) berfungsi sebagai masukan untuk menjadikan keluaran counter sama dengan data masukannya yaitu DA, DB, DC, dan DD (pin 4,, 3 dan 3) dengan diberi masukan tinggi (pin ). 8. Ground (GND) dan catuan positif (+V) berfungsi sebagai masukan catuan bagi counter (pin 8 dan 6). 48

49 VI.3 REGISTER Register merupakan blok logika yang sangat penting dalam kebanyakan sistem digital. Register sering digunakan untuk menyimpan sementara informasi biner yang muncul pada keluaran sebuah matrik pengkodean. Disamping itu, register sering digunakan untuk menyimpan sementara data biner yang sedang dikodekan. Maka register membentuk suatu kaitan yang sangat penting antara sistem digital utama dan kanal-kanal keluaran. Register yang paling sederhana terdiri dari satu flip-flop saja, yang berarti hanya dapat menyimpan data terdiri dari suatu bit bilangan biner saja yaitu atau oleh sebab itu untuk menyimpan data yang terdiri dari empat bit bilangan biner maka diperlukan empat buah flip-flop. VI.3. Register PIPO (Paralel Input Paralel Output ) OUTPUT Q 3 Q Q Q D Q D Q D Q D Q CLK CLK CLK CLK CLR Q CLR Q CLR Q CLR Q clock clear D 3 D D D INPUT Gambar 6.6 Rangkaian register PIPO Pada Gambar 8.3 diatas menunjukkan regsiter PIPO karena memiliki input paralel dan output berupa saluran data paralel dengan panjang n-bit atau dalam contoh ini 4- bit yang dibangun dari kumpulan flip-flop D. Pada register ini data dimasukkan kedalamnya secara serempak melalui saluran D 3, D, D, D. Demikian pula ketika register tersebut akan dibaca outputnya, data dikeluarkan secara serempak melalui Q 3, Q, Q, Q. Prinsip penyimpanan data pada register adalah memindahkan data yang ada pada inputnya ke outputnya. Penyimpanan data pada regsiter paralel dilakukan dengan cara menempatkan data yang akan disimpan pada input paralel, dan untuk memindahkan data tersebut ke outputnya dilakukan dengan memberikan sebuah pulsa 49

50 clock. Gambar 8. halaman selanjutnya menunjukkan ilustrasi cara penyimpanan data pada register paralel. Pada gambar tersebut dianggap register melakukan penyimpanan data. CLOCK D 3 Saat transfer data paralel terjadi D D D Q 3 Q Q Q Gambar 6.7 Cara penyimpanan data Mula-mula ditempatkan data pada saluran input register yakni D 3, D, D, D =, dan saat terjadinya tepi turun dari clock data dipindah ke output register sehingga Q 3, Q, Q, Q =. VI.3. Register SIPO ( Serial Input Paralel Output ) Selain register PIPO (Paralel Input Paralel Output) yang dapat menyimpan data secara serempak terdapat pula register SIPO (Serial Input Paralel Output) yang melakukan penyimpanan data secara seri dengan memasukkan data bit demi bit. Gambar 8.3 menunjukkan rangkaian register 4-bit yang memiliki bit input dan bit output seri, serta 4 bit output paralel. 5

51 Q 3 Q output paralel Q Q input seri D CLK Q D CLK Q D CLK Q D CLK Q out put seri CLR Q CLR Q CLR Q CLR Q Gambar 6.8 Rangkaian register SIPO 4-bit 5

52 VI.4 MULTIVIBRATOR Multivibrator adalah suatu rangkaian yang berfungsi untuk menghasilkan pulsapulsa. Yang dimaksud dengan pulsa disini adalah suatu gelombang yang terdiri dari kondisi rendah dan kondisi tinggi. Pada suatu rangkaian Digital, pulsa-pulsa ini memiliki peranan yang amat penting untuk mengeksekusi suatu proses atau mengubah satu kondisi menjadi kondisi berikut dimana pada suatu gelombang pulsa mengandung suatu proses yang disebut dengan sinyal Clock. Yang dimaksud dengan sinyal Clock adalah suatu perubahan atau transisi dari kondisi rendah menjadi kodisi tinggi atau juga sebaliknya dari suatu gelombang pulsa. Sinyal Clock inilah yang memiliki peranan penting pada suatu rangkaian Digital. Multivibrator inilah yang dijadikan sebagai rangkaian yang berfungsi untuk menghasilkan sinyal-sinyal clock dari gelombang pulsa yang dihasilkan. Secara umum ada dua type rangkaian Multivibrator, yaitu Astabel Multivibrator atau yang disingkat dengan AMV dan Monostabel Multivibrator atau yang disingkat dengan MMV. AMV adalah suatu rangkaian Multivbrator yang berfungsi untuk menghasilkan pulsa-pulsa secara terus menerus dengan frekuesi dan lebar pulsa yang tetap, sedangkan MMV adalah suatu rangkaian Multivbrator yang berfungsi untuk menghasilkan hanya pulsa keluaran apabila diberikan satu sinyal trigger kepadanya. Salah satu IC (Integrated Circuit) yang umum digunakan sebagai rangkaian Multivibrator adalah type IC 555. Dengan konfigurasi rangkaian RC yang terhubung ke IC 555 akan dihasilkan suatu rangkaian Multivibrator baik AMV atau MMV. Prinsip kerja dari rangkaian Multivibrator IC 555 dengan rangkaian RC ekstern adalah dengan mengubah waktu pengisian atau pengosongan muatan kapasitor menjadi suatu keluaran logika tinggi atau rendah. Pada waktu pengisian muatan kapasitor akan dihasilkan keluaran tinggi dan pada waktu pengosongan muatan kapasitor akan dihasilkan keluaran rendah oleh output IC 555. VI.4. Operasi Astabil Multivibrator Astabil Multivibrator adalah sebuah rangkaian penghasil frekuensi keluaran dengan bentuk gelombang pulsa dimana besar frekuensi keluaran ditentukan oleh nilai dari komponen yaitu nilai dari komponen R (resistor) dan C (kapasitor). Gelombang pulsa yang dihasilkan akan terus berjalan selama AMV diaktifkan. 5

53 Nilai frekuensi yang akan dihasilkan oleh astabil multivibrator adalah sebesar: F out = RC Gambar 6.9 Rangkaian AMV VI.4. Operasi Monostabil Mulitvibrator (MMV) Operasi Monostabil Multivibrator Sebuah rangkaian penghasil frekuensi dengan bentuk gelombang pulsa dimana akan menghasilkam frekuensi apabila diberi input trigger. Nilai lebar frekuensi yang dihasilkan oleh Monosatbil Multivibrator adalah sebagai berikut. W =, RC Rangkaian terintegrasi (IC) AMV dengan IC 555 ditunjukkan seperti Gambar dibawah. 53

54 V + R 8 6 f out 7 IC 555 V trigger C Gambar 6. Rangkaian MMV dengan IC 555 Adapun rangkaian AMV dan MMV dengan IC 555 diperlihatkan pada gambar berikut. +V +V RA 8 R 8 RB 7 6 IC F out Trigger negatif 7 6 IC T out C C AMV MMV Gambar 6. Rangkaian AMV dan MMV dengan IC

55 DAFTAR PUSTAKA. Digital System Design second Edition, Wilkinson, Prentice hall.. Digital System Principles and Application fifth edition, Tocci, Prentice Hall. 3. Introduction to Switching Theory and Logical Design, Frederick J. Hill, Jhon Wiley & Son. 55

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal cara kerja dari peraga 7-segmen 2. Mengenal cara kerja rangkaian

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP)

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) Mata Kuliah : Elektronika Digital (3 SKS) Kode : ELP 2318 Prasyarat : - Program Studi : Teknik Elektronika (program D-3) Semester

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

MODUL I GERBANG LOGIKA DASAR

MODUL I GERBANG LOGIKA DASAR MODUL I GERBANG LOGIKA DASAR I. PENDAHULUAN Gerbang logika adalah rangkaian dengan satu atau lebih masukan tetapi hanya menghasilkan satu keluaran berupa tegangan tinggi ( 1 ) dan tegangan rendah ( 0 ).

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

PENCACAH (COUNTER) DAN REGISTER

PENCACAH (COUNTER) DAN REGISTER PENCACAH (COUNTER) DAN REGISTER Aplikasi flip-flop yang paling luas pemakaiannya adalah sebagai komponen pembangun pencacah dan register. Pencacah termasuk dalam kelompok rangkaian sekuensial yang merupakan

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6.

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. 1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. Pencacah (Counter) 7. Register Geser 8. Operasi Register 9.

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

KEGIATAN BELAJAR 1 SISTEM KOMPUTER

KEGIATAN BELAJAR 1 SISTEM KOMPUTER KEGIATAN BELAJAR 1 SISTEM KOMPUTER Capaian Pembelajaran Mata Kegiatan Memahami, menerapkan, menganalisis, dan mengevaluasi tentang sistem komputer Sub Capaian Pembelajaran Mata Kegiatan: 1. Memahami sistem

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

Muhammad Adri Abstrak

Muhammad Adri  Abstrak Pengantar Arsitektur Komputer 4 Rangkaian Aritmatika Muhammad Adri mhd.adri@unp.ac.id http://muhammadadri.wordpress.com Abstrak Rangkaian aritmatika merupakan salah satu inti pembahasan dalam pengantar

Lebih terperinci

Register & Counter -7-

Register & Counter -7- Sistem Digital Register & Counter -7- Missa Lamsani Hal 1 Register dan Pencacah Register adalah kumpulan elemen-elemen memori yang bekerja bersama sebagai satu unit. Pencacah (counter) adalah merupakan

Lebih terperinci

Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, urdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan :. Mempelajari cara kerja pencacah biner sinkron dan tak sinkron, 2. Merealisasikan pencacah biner

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

REGISTER DAN COUNTER.

REGISTER DAN COUNTER. REGISTER DAN COUNTER www.st3telkom.ac.id Register Register adalah rangkaian yang tersusun dari satu atau beberapa flip-flop yang digabungkan menjadi satu. Flip-Flop disebut juga sebagai register 1 bit.

Lebih terperinci

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter?

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter? BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum melakukan percobaan, ada baiknya kita mempelajari serta memahami setiap percobaan yang akan kita lakukan. Tanpa disadari dalam membuat suatu makalah kita pasti

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : FLIP-FLOP RS FF JK FF D FF T FF FLIP-FLOP Salah satu rangkaian logika

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : - FLIP FLOP - RS FF - JK FF - D FF - T FF 1 Salah satu rangkaian logika

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL No. SIL/EKA/EKA239/22 Revisi : 00 Tgl: 21 Juni 2010 Hal 1 dari 5 MATA KULIAH : TEKNIK DIGITAL KODE MATA KULIAH : EKA 239 SEMESTER : 2 PROGRAM STUDI : PENDIDIKAN TEKNIK INFORMATIKA DOSEN PENGAMPU : UMI

Lebih terperinci

Kegiatan Belajar 4 : Sistem Elektronika Digital Capaian Pembelajaran Mata Kegiatan Memahami Dasar-Dasar Elektronika Digital Sub Capaian Pembelajaran

Kegiatan Belajar 4 : Sistem Elektronika Digital Capaian Pembelajaran Mata Kegiatan Memahami Dasar-Dasar Elektronika Digital Sub Capaian Pembelajaran Kegiatan Belajar 4 : Sistem Elektronika Digital Capaian Pembelajaran Mata Kegiatan Memahami Dasar-Dasar Elektronika Digital Sub Capaian Pembelajaran Mata Kegiatan Menganalisis Rangkaian Logika Menganalisis

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

Perancangan Sistem Digital. Yohanes Suyanto

Perancangan Sistem Digital. Yohanes Suyanto Perancangan Sistem Digital 2009 Daftar Isi 1 SISTEM BILANGAN 1 1.1 Pendahuluan........................... 1 1.2 Nilai Basis............................. 2 1.2.1 Desimal.......................... 2 1.2.2

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) E-mail: mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

BAB II SISTEM BILANGAN DAN KODE BILANGAN

BAB II SISTEM BILANGAN DAN KODE BILANGAN BAB II SISTEM BILANGAN DAN KODE BILANGAN 2.1 Pendahuluan Komputer dan sistem digital lainnya mempunyai fungsi utama mengolah informasi. Sehingga diperlukan metode-metode dan sistem-sistem untuk merepresentasikan

Lebih terperinci

Peraga 7-segmen berfungsi untuk menampilkan angka 0 sampai 9. Segmen-segmen diberi label : a, b, c, d, e, f dan g.

Peraga 7-segmen berfungsi untuk menampilkan angka 0 sampai 9. Segmen-segmen diberi label : a, b, c, d, e, f dan g. Peraga 7-segmen Peraga 7-segmen berfungsi untuk menampilkan angka 0 sampai 9. Segmen-segmen diberi label : a, b, c, d, e, f dan g. a f e g b c Dengan menyalakan segmen tertentu maka dapat ditampilkan karakter

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK A VI RANGKAIAN-RANGKAIAN ARITMETIK Fungsi terpenting dari hampir semua computer dan kalkulator adalah melakukan operasi-operasi aritmetik. Operasi-operasi ini semuanya dilaksanakan di dalam unit aritmetik

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN SEKOLAH TINGGI MANAJEMEN INFORMAA KOMPUTER JAKARTA STIK SATUAN ACARA PERKULIAHAN Mata : SISTEM DIGITAL Kode Mata : DK - 15303 Jurusan / Jenjang : S1 SISTEM KOMPUTER Tujuan Instruksional Umum : Setelah

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

Soal Latihan Bab Tentukanlah kompelemen 1 dan kompelemen 2 dari bilangan biner berikut:

Soal Latihan Bab Tentukanlah kompelemen 1 dan kompelemen 2 dari bilangan biner berikut: 1 Soal Latihan Bab 1 1. Nyatakanlah bilangan-bilangan desimal berikut dalam sistem bilangan: a. Biner, b. Oktal, c. Heksadesimal. 5 11 38 1075 35001 0.35 3.625 4.33 2. Tentukanlah kompelemen 1 dan kompelemen

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 1 Prinsip-Prinsip Sistem Digital Ferry Wahyu Wibowo Outlines Sistem digital Persamaan dan perbedaan elektronika analog dan elektronika digital Sistem

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

KOMPETENSI DASAR : MATERI POKOK : Sistem Bilangan URAIAN MATERI 1. Representasi Data

KOMPETENSI DASAR : MATERI POKOK : Sistem Bilangan URAIAN MATERI 1. Representasi Data KOMPETENSI DASAR : 3.1. Memahami sistem bilangan Desimal, Biner, Oktal, Heksadesimal) 4.1. Menggunakan sistem bilangan (Desimal, Biner, Oktal, Heksadesimal) dalam memecahkan masalah konversi MATERI POKOK

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

SILABUS MATAKULIAH. Indikator Pokok Bahasan/Materi Aktivitas Pembelajaran

SILABUS MATAKULIAH. Indikator Pokok Bahasan/Materi Aktivitas Pembelajaran SILABUS MATAKULIAH Revisi : - Tanggal Berlaku : September 2014 A. Identitas 1. Nama Matakuliah : A11.54304/ Sistem Digital 2. Program Studi : Teknik Informatika-S1 3. Fakultas : Ilmu Komputer 4. Bobot

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN OUNTER 8.1 Register Dalam elektronika digital seringkali diperlukan penyimpan data sementara sebelum data diolah lebih lanjut. Elemen penyimpan dasar adalah flip-flop. Setiap flip-flop

Lebih terperinci

SHEET PRAKTIK TEKNIK DIGITAL

SHEET PRAKTIK TEKNIK DIGITAL LAB SHEET PRAKTIK TEKNIK DIGITAL Pengenalan Komponen Elektronika Digital No. LST/PTE/EKA62/ Revisi: Tgl: 8 September 25 Page of 8. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

2.1 Desimal. Contoh: Bilangan 357.

2.1 Desimal. Contoh: Bilangan 357. 2.Sistem Bilangan Ada beberapa sistem bilangan yang digunakan dalam sistem digital. Yang paling umum adalah sistem bilangan desimal, biner, oktal, dan heksadesimal. Sistem bilangan desimal merupakan sistem

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

BAB 5. MULTIVIBRATOR

BAB 5. MULTIVIBRATOR BAB 5. MULTIVIBRATOR Materi :. Dasar rangkaian Clock / Multivibrator 2. Jenis-jenis multivibrator 3. Laju Pengisian dan Pengosongan Kapasitor 4. Multivibrator Astabil dari IC 555 5. Multivibrator Monostabil

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

1. SISTEM BILANGAN. Teknik Digital Dasar 1

1. SISTEM BILANGAN. Teknik Digital Dasar 1 Teknik Digital Dasar 1 1. SISTEM BILANGAN Semua sistem bilangan dibatasi oleh apa yang dinamakan Radik atau Basis, yaitu notasi yang menunjukkan banyaknya angka atau digit suatu bilangan tersebut. Misalnya

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T.

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. PRAKTIKUM 2 DECODER-ENCODER JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. Nama : Fachryzal Candra Trisnawan NIM : 160533611466 Prog. Studi - Off

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal beberapa jenis register. 2. Menyusun rangkaian register. 3. Mempelajari cara kerja

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06 LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EKA/0 ARIF NUR MAJID EKA/0 AULIADI SIGIT H EKA/0 POLITEKNIK NEGERI SEMARANG 009 PERCOBAAN JUDUL : MONOSTABLE MULTIVIBRATOR(ONE SHOT) TUJUAN :. Mahasiswa

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE I. KISI-KISI 1. Sistem Digital dan Sistem Analog 2. Sistem Bilangan Biner 3. Konversi Bilangan 4. Aljabar Boole II. DASAR TEORI GERBANG LOGIKA Sistem elektronika sekarang ini masih mengandalkan bahan semikonduktor

Lebih terperinci

TI 2013 IE-204 Elektronika Industri & Otomasi UKM

TI 2013 IE-204 Elektronika Industri & Otomasi UKM TI 23 IE-24 Elektronika Industri & Otomasi UKM Lampiran C Aljabar Boolean Tupel Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan ang didefinisikan pada operaror +,,

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian SYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian SYNCHRONOUS COUNTER

Lebih terperinci