PERHITUNGAN DENYUT JANTUNG BERDASARKAN SINYAL EKG BERBASIS FPGA

Ukuran: px
Mulai penontonan dengan halaman:

Download "PERHITUNGAN DENYUT JANTUNG BERDASARKAN SINYAL EKG BERBASIS FPGA"

Transkripsi

1 PERHITUNGAN DENYUT JANTUNG BERDASARKAN SINYAL EKG BERBASIS FPGA Rita Purnamasari 1,Sugondo Hadiyoso 2, Yuyun Siti Rohmah 3, Ahmad Zaki Ramdani 4 1,2,3 Fakultas Elektro dan Komunikasi, Institut Teknologi Telkom 4 Versatile Silicon Jl.Telekomunikasi, Trs. Buah Batu, Bandung, reeta_tekdig@yahoo.com, sugondo.hadiyoso@gmail.com, ysr@ittelkom.ac.id, ahmadzakyramdani@gmail.com User sugondohadiyoso Abstrak EKG merupakan suatu sinyal yang dihasilkan dari aktivitas kelistrikan dari jatung manusia yang memiliki informasi medis mengenai kondisi kesehatan manusia yang bersangkutan. Dari sinyal EKG ini, maka kita dapat mengetahui kondisi kesehatan jantung seseorang berdasarkan heart rate. Pada penelitian ini akan direalisasikan suatu core FPGA yang dapat mendeteksi dan menghitung jumlah denyut jantung seseorang per menit berdasarkan sinyal EKG. Sinyal ECG analog yang sebelumnya dikonversi menjadi format digital oleh ADC dikirim secara serial ke perangkat FPGA. Pada FPGA, data tersebut dibaca dan diolah menggunakan algoritma Pan-Tomkins untuk menentukan QRS dari sinyal EKG. Pada algoritma Pan-Tomkins terdapat bagian yang dihilangkan yaitu blok derivative dan integral, dengan ini diharapkan penggunaan memori FPGA dapat direduksi tanpa harus mengurangi performansinya. Pengujian dilakukan pada level simulasi dan implementasi. Pada level simulasi digunakan ModelSim untuk melihat data keluaran modul. Dari sample sinyal ECG yang digunakan sebagai masukan, sistem dapat mendeteksi QRS dengan baik dengan tingkat akurasi 100 % untuk sinyal ECG normal dan dapat menghitung jumlah heart rate dengan baik. Pengujian level implementasi digunakan perangkat FPGA jenis Altera Cyclone 3. Pada pengujian ini, QRS sinyal ECG belum dapat dideteksi dengan baik sehingga jumlah heart rate yang dihitung masih mengalami kesalahan. Kata Kunci:EKG, Pan-Tompkins, Heart rate, QRS, ADC, FPGA 1. Pendahuluan EKG merupakan suatu sinyal yang dihasilkan dari aktivitas kelistrikan dari jatung manusia yang memiliki informasi medis mengenai kondisi kesehatan manusia yang bersangkutan. Dari sinyal EKG ini, maka kita dapat mengetahui kondisi kesehatan jantung seseorang berdasarkan heart rate. Pada penelitian ini akan direalisasikan suatu prototype chip yang dapat mendeteksi dan menghitung jumlah denyut jantung seseorang per menit berdasarkan sinyal EKG. Suatu sinyal EKG memiliki komponen utama berupa kompleks QRS. Kompleks QRS adalah bentuk umum dari sinyal EKG yang normal dan berhubungan dengan depolarisasi ventrikel. Pendeteksian nilai kompleks QRS memegang peranan yang sangat penting pada sistem pengolahan sinyal EKG. Salah satu metode yang dapat digunakan untuk perhitungan sebuah kompleks QRS secara real time adalah dengan metode Pan-Tompkins. Penelitian ini merupakan pengembangan dari penelitian sebelumnya [6] dengan memodifikasi algoritma yang digunakan, bentuk komunikasi data yang digunakan, serta menampilkan jumlah denyut jantung pada 7 segmen yang akan memudahkan dalam mengetahui kondisi kesehatan jantung pasien. 2. Teori 2.1 Elektrokardiogram Electrokardiograf (EKG) merupakan suatu gambaran yang terbentuk sebagai hasil dari aktivitas listrik jantung. EKG diambil dengan memasang elektroda pada titik tertentu tubuh pasien. Sinyal elektrokardiograf mempunyai bentuk spesifik sehingga dapat dijadikan sebagai acuan untuk menentukan kondisi kesehatan jantung oleh ahli jantung. Sinyal EKG direkam menggunakan perangkat elektrokardiograf [5]. Urutan terjadinya sinyal Elektrokardiograf sebagai berikut [5] : 1. Vektor depolarisasi (terjadi perubahan muatan listrik ) kontraksi atrium dari sinus atrialis ke nodulus atrio ventricularis saat terjadi, menimbulkan gelombang P. 1

2 2. Gelombang R tanda akhir dari kontraksi atria dan awal dari kontraksi ventrikel. 3. Vektor yang timbul karena depolarisasi ventrikel membangkitkan QRS kompleks. 4. Vektor menimbulkan gelombang T disebabkan repolarisasi ventrikel. 5. Interval P-R adalah menandakan waktu dari permulaan kontraksi atrial sampai permulaan kontraksi ventrikel 6. Interval R-T menunjukkan kontraksi otot (ventricel systole), dan interval T-R menunjukkan adanya relaksasi otot (ventricel diastole). Sebuah sinyal yang didapat dari EKG normal adalah seperti pada Gambar 1 Menurut Sutopo [5], gelombang EKG normal memiliki ciri-ciri sebagai berikut: Gambar 1. Gelombang EKG normal Pada dasarnya ada tiga teknik yang digunakan dalam elektrokardiografi yaitu [5] : 1. Standard Clinical EKG Menggunakan 10 elektroda (12 lead) digunakan untuk menganalisis kondisi kesehatan jantung pasien. 2. Vectorcardiogram Pemodelan potensial tubuh sebagai vektor 3 dimensi dengan menggunakan sadapan bipolar Einthoven. Pengambilan sinyal jantung melalui 3 titik tertentu pada tubuh. 3. Monitoring EKG Teknik ini menggunakan 1 atau 2 elektroda yang ditempatkan pada titik-titik tubuh tertentu. Teknik ini digunakan untuk memonitor pasien dalam jangka panjang. Sinyal EKG yang dianalisis adalah sinyal yang diambil menggunakan 3 lead sesuai dengan segitiga Einthoven. Pada sistem ini sinyal EKG tiap lead merupakan beda potensial antar anggota tubuh antara lain [4] : a. Lead I : beda potensial antara LA (left arm) dengan RA (right arm) b. Lead II : beda potensial antara LL (left leg) dengan RA (right arm) c. Lead III : beda potensial antara LL (left leg) dengan LA (left arm) Gambar 2. Segitiga Einthoven [2] 2.2 Algoritma Pan-Tompkins Algorima Pan-Tompkins merupakan suatu metode untuk mendeteksi kompleks QRS secara real time yang dikembangkan oleh Jiapu Pan dan Willis J. Tompkins yang dipublikasikan pada Gelombang QRS adalah bentuk gelombang yang muncul pada kebanyakan sinyal ECG. Ada banyak teknik untuk mendeteksi gelombang QRS pada elektrokardiograf. Keakuratan dari pendeteksian puncak R adalah persyaratan untuk fungsi analisa ECG yang tepat. Pada hampir semua pengenalan parameter ECG berdasarkan kepada titik tetap yang dapat diindentifikasi pada setiap siklus gelombang. Puncak sinyal R cocok untuk digunakan sebagai titik referensi, karena mempunyai amplituda yang terbesar dan bentuk gelombang yang paling tajam. Waktu dan ukuran amplituda dapat diketahui ketika puncak dari setiap gelombang R terdeteksi pada setiap siklus gelombang. Teknik Real- Time QRS Detection meliputi bandpass filtering, differensiasi, pengukuran daya rata-rata dan thresholding. Gambar 3 Skema Pengolahan Sinyal ECG pada Algoritma Pan-Tompkins 2.3 Field Programmable Gate Array (FPGA) Field Programmable Logic Array (FPGA) merupakan logic device yang dapat diprogram untuk mendukung implementasi dari rangkaian logika yang relatif besar. FPGA berbeda dengan teknologi logika lain seperti CPLD dan SPLD, karena FPGA bukan terdiri dari AND atau OR. Tetapi FPGA terdiri dari [2] 2

3 blok-blok logika untuk mengimplementasikan fungsi yang diinginkan. Setiap vendor FPGA memiliki arsitektur FPGA sendiri, tetapi secara umum sama dan dapat dilihat pada Gambar dibawah ini [6]. digunakan algoritma Pan Tomkins untuk mendeteksi QRS sinyal EKG yang dimodifikasi dengan menghilangkan beberapa bagian algoritma tersebut yaitu proses derivative untuk mengurangi waktu proses sekaligus menghemat penggunaan resource logic gate pada FPGA. 3.1 Perangkat Pengkondisi Sinyal EKG Pengkondisi sinyal EKG digunakan untuk mengakuisi sinyal EKG dari tubuh pasien dan mengolahnya sehingga didapat sinyal EKG pada level yang diharapkan. Secara umum diagram blok sistem dari pengkondisian sinyal EKG yang akan direalisasikan adalah seperti terlihat pada gambar berikut. Gambar 4. Architecture of FPGA Arsitektur terdiri dari Configurable Input/Output Blok ( IOB ), Configurable Logic Block (CLB) dan Interkoneksi yang dapat diprogram untuk merutekan sinyal antara logic blocks dan I/O blocks [7]. Keuntungan menggunakan FPGA adalah mengurangi board area, mengurangi konsumsi power, mengurangi biaya, menambah kecepatan sistem, dan tidak perlu desaindan tes untuk pembuatan alat [7]. FPGA mempunyai kelebihan sebagai berikut : [7] Dikonfigurasi oleh End User Tidak memerlukan proses Fabrikasi Tersedia solusi yang mendukung chip customized VLSI 3. Desain Sistem Secara umum sistem yang akan direalisasikan dapat dilihat pada blok diagram dibawah ini. AKUISISI ANALOG ADC 0804 Gambar 6. Blok diagram sistem pengkondisi sinyal EKG 3.2 Perancangan Sistem Deteksi Denyut Jantung Perancangan sistem pendeteksian QRS menggunakan algoritma Pan-Tomkins dimulai dengan menentukan spesifikasi blok yang dirancang. Selanjutnya menguraikan bagian-bagian penyusun algoritma Pan-Tomkins untuk kemudian diterjemahkan pada bahasa pemrograman VHDL. Penggunaan algoritma Pan-Tomkins dilakukan modifikasi yaitu dengan menghilangkan bagian derivative dan integrasi. Lebih jelasnya dapat dilihat pada Gambar 7 dibawah ini. FPGA Board Gambar 5. Blok Sistem Akuisisi data analog dilakukan oleh perangkat EKG dengan pengambilan sinyal elektris jantung melalui elektroda yang dipasang pada tubuh pasien. Sinyal analog tersebut dirubah dalam format digital menggunakan perangkat Analog to Digital Converter (ADC) agar dapat dilakukan pengolahan data oleh mikrokontroler. Mikrokontroler berfungsi untuk membaca data ADC kemudian mengirim data secara serial yang akan menjadi data masukan FPGA. Data tersebut akan disimpan dalam register dan akan diubah menjadi bentuk desimal untuk dilakukan proses pengolahan sinyal digital yang dalam penelitian ini Data In LPF HPF Kuadrat Decision Gambar 7. Perancangan Detektor QRS ECG Low Pass Filter (LPF) Berdasarkan algoritma yang digunakan, frekuensi LPF yang dirancang mempunyai cut off 11 Hz dimana nilai persamaan perbedaan untuk filter LPF yang direalisasikan adalah sebagai berikut [2]. y(n) = 2y(n 1) y(n 2) + x(n) 2x(n 6) + x(n 12)] 7 Segmen Display 3

4 Pada perancangan VHDL dibutuhkan blok register yang digunakan untuk menyimpan nilai masukan dan luaran sebelumnya. Terdiri dari 2 (dua) buah register yang berfungsi untuk menyimpan nilai x(n) dan y(n). Blok lain yang dirancang adalah adder dan multiplier yang masing-masing berfungsi untuk melakukan operasi penjumlahan dan perkalian. Lebih jelasnya dapat dilihat pada Gambar berikut. Gambar 9. Hasil Sintesa Top Level Serial UART Display 7-Segmen Bagian ini berfungsi untuk menampilkan jumlah denyut jantung hasil pengolahan sinyal ECG berupa angka. Angka tersebut dibagi menjadi 3 (tiga) bagian yaitu ratusan, puluhan, dan satuan. Masukan dari blok ini merupakan nilai integer dari 0 sampai 255 (diasumsikan jumlah denyut jantung maksimum adalah 255). Dibutuhkan 3 (tiga) 7 segmen untuk menampilkan jumlah denyut jantung dimana setiap 7 segmen membutuhkan 7 data kontrol sehingga total pin output yang digunakan adalah 21 pin. Gambar 8. Perancangan Blok LPF pada VHDL [6] High Pass Filter (HPF) Berdasarkan algoritma yang digunakan, frekuensi HPF yang dirancang mempunyai cut off 5 Hz dimana nilai persamaan perbedaan untuk filter HPF yang direalisasikan adalah sebagai berikut [2]. y(n) = y(n 1) + x(n 16) x(n 17) 1/32 [x(n) x(n 32)] Pada perancangan VHDL tidak berbeda dengan blok LPF, dimana blok HPF juga terdiri dari register, adder, dan multiplier hanya ada perbedaan pada nilai pengalinya Fungsi Kuadrat Setelah proses filter, selanjutnya nilai sample sinyal dikuadratkan dengan tujuan mendapatkan nilai mutlak dari sample sinyal yang akan memudahkan dalam proses penentuan heart rate. Jika masukan blok ini adalah x(n) dan sinyal luaran y(n) maka persamaannya : clk datain[7..0] uart_en uartin Gambar 10. Hasil Sintesa Top Level Kontrol 7 Segmen 3.3 Implementasi Pada FPGA Setelah semua blok di-integrasikan dan disimulasikan, maka tahap selanjutnya adalah menanamkan modul pada FPGA. Jenis FPGA yang digunakan pada penelitian ini adalah Board TerAsic Altera Cyclone 3. Sebelum modul ditanamkan pada FPGA, tahap pertama adalah dilakukan sintesis terhadap semua bagian modul, selanjutnya deskripsi pin I/O yang akan digunakan, tahapan terakhir adalah men-generate file yang akan di-load pada FPGA. clock_div:clock_source inclk0 c0 c1 clk0 clk1 div10:clock_div10 clkout0 clkout1 rx enable rx in rxclk uart:ifc rx data[7..0] clk inecg[7..0] QRSdetector:core outecg beat v[7..0] beat[7..0] seven_segment_ctrl:display beat[7..0] outdig1[6..0] outdig2[6..0] outdig3[6..0] display1[6..0] display2[6..0] display3[6..0] beat_v[7..0] outecg y(n) = x(n)*x(n) Gambar 11 Hasil Sintesa Top Level Modul Serial UART Komunikasi antara perangkat ECG dengan FPGA menggunakan UART dengan konfigurasi 8 bit data, 1 stop bit, tanpa parity, dan bitrate 9600 bps. Karena komunikasi satu arah maka pin yang digunakan pada FPGA adalah pin receive (rx) dan ground (gnd). Realisasi blok serial UART pada VHDL dapat dilihat pada Gambar dibawah ini. 4. Hasil dan Diskusi 4.1 Simulasi Sistem Pada ModelSim Secara keseluruhan, sistem yang diuji menggunakan sinyal yang di-generate pada testbench telah mampu mendeteksi seluruh kompleks QRS yang ada. Namun sinyal yang diujikan adalah sinyal ECG yang memiliki noise relatif kecil. Selanjutnya diperlukan pengujian kembali secara real time melalui 4

5 implementasi perangkat keras ECG yang diintergasikan dengan perangkat FPGA. Jika pada simulasi sudah tidak terdapat kesalahan, maka sistem dapat ditanamkan dan diujikan pada FPGA. Gambar 13. Display 70 Bpm Gambar 12. Hasil Simulasi Keseluruhan Sistem 4.2 Pengujian Hasil Implementasi Pada FPGA Setelah simulasi pada ModelSim dilakukan, dan dari hasil pengujian dapat dinyatakan bahwa sistem dapat bekerja dengan baik maka tahapan selanjutnya adalah implementasi modul pada FPGA dan dilakukan pengujian sistem secara real time. Sinyal ECG yang berasal dari Patient Simulator, diakuisi secara analog yang selanjutnya diubah formatnya menjadi digital oleh ADC kemudian dikirimkan secara serial UART oleh mikrokontroler. Data digital tersebut diterima oleh pin FPGA yang sebelumnya sudah dideskripsikan sebagai penerima data serial. Data serial yang diterima kemudian dioleh oleh modul yang telah ditanam pada FPGA. Hasil luaran dari modul ditampilkan pada 7 segmen untuk melihat keakuratan sistem dalam menghitung heart rate. Sinyal ECG yang dihasilkan oleh Patient Simulator di-set untuk mengeluarkan sinyal ECG normal dengan heart rate 60 bpm. Dari hasil pengamatan pada 7 segmen diperoleh penyimpangan nilai dari nilai yang sebenarnya. Kesalahan pengitungan heart rate ini disebabkan karena terjadi kesalahan deteksi QRS. Terdapat QRS ECG yang terdeteksi lebih dari satu kali dan ada juga QRS yang tidak tedeteksi oleh sistem. Hasil tersebut dapat dilihat pada Gambar dibawah ini. Pada Gambar 15, bagian yang dilingkari dengan warna hijau ditunjukkan adanya QRS ECG yang terdeteksi lebih dari satu kali. Gambar 14. Display 66 Bpm Gambar 15. Kesalahan Deteksi QRS 5. Kesimpulan Dari penelitian yang telah dilakukan dapat diambil beberapa kesimpulan : 1. Pada level simulasi digunakan ModelSim untuk melihat data keluaran modul. Dari sample sinyal EG yang digunakan sebagai masukan, sistem dapat mendeteksi QRS dengan baik dengan tingkat akurasi 100 % untuk sinyal ECG normal dan dapat menghitung jumlah heart rate dengan baik. 2. Pengujian level implementasi digunakan perangkat FPGA jenis Altera Cyclone 3. Pada 5

6 pengujian ini, QRS sinyal ECG belum dapat dideteksi dengan baik sehingga jumlah heart rate yang dihitung masih mengalami kesalahan. 3. Terjadinya kesalahan pembacaan QRS ECG dapat dikarenakan oleh kesalahan komputasi dimana operasi penjumlahan dan perkalian bit dapat menyebabkan bit overload yang belum dapat diantisipasi pada penelitian ini. DAFTAR PUSTAKA [1] Khairuddin, Labib Ahmad.(2011). Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband Berbasis Pengkodean VHDL Pada FPGA. Tugas Akhir. IT Telkom. Bandung. Tidak Diterbitkan. [2] Pan J., and Tompkins W. J A Real-Time QRS Detection Algorithm, IEEE Trans. Biomed. Eng. [3] Pavlatos C., Dimopoulos., dan Manis G., Hardware Implementation of Pan & Tompkins QRS Detection Algorithm. National Technical University of Athens. [4] Webster, J. G. (1998). Medical Instrumentation Application and Design, John Wiley & Son,Inc, New York [5] Widjaja, Sutopo ECG Praktis. Binarupa Aksara.Jakarta. [6] Zaki Ramdani, Ahmad Impementasi Deteksi Kompleks QRS ECG Dengan Algoritma PANTOMPKINS Pada Perangkat FPGA. Tugas Akhir. IT Telkom. Bandung. Tidak Diterbitkan. [7] Zeidman, Bob.(2002). Designing With FPGAS and CPLDS. CMP Books. Kansas. 6

Multipoint to Point EKG Monitoring Berbasis ZigBee

Multipoint to Point EKG Monitoring Berbasis ZigBee Multipoint to Point EKG Monitoring Berbasis ZigBee Sugondo Hadiyoso Fakultas Ilmu Terapan Telkom University Bandung, Indonesia sgo@ittelkom.ac.id Suci Aulia Fakultas Ilmu Terapan Telkom University Bandung,

Lebih terperinci

WIRELESS LAN ELECTROCARDIOGRAPH (ECG)

WIRELESS LAN ELECTROCARDIOGRAPH (ECG) WIRELESS LAN ELECTROCARDIOGRAPH (ECG) Achmad Rizal dan Jondri Biomedical Signal Processing & Instrumentation Research Group (BioSPIN) Fakultas Elektro & Komunikasi, Institut Teknologi Telkom, Bandung Computational

Lebih terperinci

SISTEM TELECARDIAC MONITORING EKSTRAKSI DAN TRANSMISI PARAMETER TEMPORAL SINYAL JANTUNG MELALUI KANAL RADIO

SISTEM TELECARDIAC MONITORING EKSTRAKSI DAN TRANSMISI PARAMETER TEMPORAL SINYAL JANTUNG MELALUI KANAL RADIO SISTEM TELECARDIAC MONITORING EKSTRAKSI DAN TRANSMISI PARAMETER TEMPORAL SINYAL JANTUNG MELALUI KANAL RADIO Norma Hermawan 1), Muh. Farid Retistianto 2), Achmad Arifin 3) 1),3 ) Teknik Biomedik, Institut

Lebih terperinci

TUGAS AKHIR PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK. Andri Iswanto

TUGAS AKHIR PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK. Andri Iswanto TUGAS AKHIR PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK Andri Iswanto 2208 100 531 Dosen Pembimbing : Dr. Tri Arief Sardjono ST.,MT. Jurusan Teknik Elektro Fakultas Teknologi Industri

Lebih terperinci

MONITORING ELEKTROKARDIOGRAF MENGGUNAKAN TOPOLOGI MESH ELECTROCARDIOGRAPH MONITORING USING MESH TOPOLOGY

MONITORING ELEKTROKARDIOGRAF MENGGUNAKAN TOPOLOGI MESH ELECTROCARDIOGRAPH MONITORING USING MESH TOPOLOGY MONITORING ELEKTROKARDIOGRAF MENGGUNAKAN TOPOLOGI MESH ELECTROCARDIOGRAPH MONITORING USING MESH TOPOLOGY Sugondo Hadiyoso 1, Ratna Mayasari 2 1 Prodi D3 Teknik Telekomunikasi, Fakultas Ilmu Terapan, Universitas

Lebih terperinci

Trio Novrizal¹, -². ¹Teknik Telekomunikasi, Fakultas Teknik Elektro, Universitas Telkom

Trio Novrizal¹, -². ¹Teknik Telekomunikasi, Fakultas Teknik Elektro, Universitas Telkom Powered by TCPDF (www.tcpdf.org) Tugas Akhir - 2006 PERANCANGAN PERANGKAT MONITORING ECG (ELECTROCARDIOGRAM) DENGAN VISUALISASI LCD GRAFIS BERBASIS MIKROKONTROLER ATMEGA8535 DESIGN DEVICE ECG MONITORING

Lebih terperinci

Oleh Arif Widodo NRP Dosen Pembimbing Dr. Tri Arief Sardjono, ST. MT.

Oleh Arif Widodo NRP Dosen Pembimbing Dr. Tri Arief Sardjono, ST. MT. Oleh Arif Widodo NRP. 2205100108 Dosen Pembimbing Dr. Tri Arief Sardjono, ST. MT. LatarBelakang BIOMEDIK ISA Slot Sistem Akuisisi ECG USB Tujuan Merancang dan merealisasikan sebuah sistem akuisisi ECG

Lebih terperinci

Seminar Nasional Aplikasi Teknologi Informasi 2011 (SNATI 2011) ISSN: Yogyakarta, Juni 2011

Seminar Nasional Aplikasi Teknologi Informasi 2011 (SNATI 2011) ISSN: Yogyakarta, Juni 2011 SISTEM MULTIPLEXING PADA PENGIRIMAN DATA MONITORING ECG, PPG, DAN SUHU TUBUH BERBASIS MIKROKONTROLER Sugondo Hadiyoso 1, Akhmad Alfaruq 2, Achmad Rizal 3 123 BIOSPIN, (Biomedical Instrumentation Research

Lebih terperinci

DETEKSI OTOMATIS KELAINAN JANTUNG MENGGUNAKAN HIDDEN MARKOV MODEL (HMM)

DETEKSI OTOMATIS KELAINAN JANTUNG MENGGUNAKAN HIDDEN MARKOV MODEL (HMM) DETEKSI OTOMATIS KELAINAN JANTUNG MENGGUNAKAN HIDDEN MARKOV MODEL (HMM) Jondri dan Achmad Rizal Institut Teknologi Telkom, Bandung jdn@ittelkom.ac.id dan arz@ittelkom.ac.id ABSTRACT The heart of patient

Lebih terperinci

ALAT UNTUK MEMPERAGAKAN IRAMA DENYUT JANTUNG SEBAGAI BUNYI DAN PENGUKUR KECEPATAN DENYUT JANTUNG MELALUI ELEKTRODA PADA TELAPAK TANGAN

ALAT UNTUK MEMPERAGAKAN IRAMA DENYUT JANTUNG SEBAGAI BUNYI DAN PENGUKUR KECEPATAN DENYUT JANTUNG MELALUI ELEKTRODA PADA TELAPAK TANGAN ALAT UNTUK MEMPERAGAKAN IRAMA DENYUT JANTUNG SEBAGAI BUNYI DAN PENGUKUR KECEPATAN DENYUT JANTUNG MELALUI ELEKTRODA PADA TELAPAK TANGAN Ervan / 0622085 E-mail : wangervan@yahoo.com Jurusan Teknik Elektro,

Lebih terperinci

SIMULATOR ECG BERBASIS PC SEBAGAI ALAT BANTU AJAR PENGOLAHAN SINYAL BIOMEDIS

SIMULATOR ECG BERBASIS PC SEBAGAI ALAT BANTU AJAR PENGOLAHAN SINYAL BIOMEDIS SIMULATOR ECG BERBASIS PC SEBAGAI ALAT BANTU AJAR PENGOLAHAN SINYAL BIOMEDIS Achmad Rizal 1, Ibnu Yudha Setiadi 2,Rita Magdalena 3, Vera Suryani 4 1,2,3 Jurusan Teknik Elektro STT Telkom 4 Jurusan Teknik

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang Masalah

BAB I PENDAHULUAN 1.1 Latar Belakang Masalah 1 BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi yang pesat mempermudah manusia dalam mencapai kebutuhan hidup. Hal tersebut telah merambah segala bidang termasuk dalam bidang kedokteran.

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

DESIGN AND REALIZATION OF SINGLE-CHANNEL PC BASED ELECTROCARDIOGRAPH USING SERIAL PORT

DESIGN AND REALIZATION OF SINGLE-CHANNEL PC BASED ELECTROCARDIOGRAPH USING SERIAL PORT DESIGN AND REALIZATION OF SINGLE-CHANNEL PC BASED ELECTROCARDIOGRAPH USING SERIAL PORT Achmad Rizal 1,Khusni EWardana 2, Imam T Pambudi 3, Vera Suryani 4, Budianto 5 arz@stttelkom.ac.id 1,uniardana@yahoo.com

Lebih terperinci

BAB III KEGIATAN PENELITIAN TERAPAN

BAB III KEGIATAN PENELITIAN TERAPAN BAB III KEGIATAN PENELITIAN TERAPAN Pada bab ini akan dijelaskan langkah-langkah yang akan digunakan dalam menyelesaikan Alat Simulasi Pembangkit Sinyal Jantung, berupa perangkat keras (hardware) dan perangkat

Lebih terperinci

APLIKASI WEB MONITORING ELECTROCARDIOGRAM TERDISTRIBUSI UNTUK MENDUKUNG APLIKASI WIRELESS NODE

APLIKASI WEB MONITORING ELECTROCARDIOGRAM TERDISTRIBUSI UNTUK MENDUKUNG APLIKASI WIRELESS NODE ISSN : 2442-5826 e-proceeding of Applied Science : Vol.1, No.2 Agustus 2015 Page 1382 APLIKASI WEB MONITORING ELECTROCARDIOGRAM TERDISTRIBUSI UNTUK MENDUKUNG APLIKASI WIRELESS NODE DISTRIBUTED ELECTROCARDIOGRAM

Lebih terperinci

Desain dan Realisasi Perangkat Elektrokardiograf Berbasis PC Menggunakan Sound Card

Desain dan Realisasi Perangkat Elektrokardiograf Berbasis PC Menggunakan Sound Card Desain dan Realisasi Perangkat Elektrokardiograf Berbasis PC Menggunakan Sound Card Ibnu Yudha Setiadi 1, Achmad Rizal 2, Rita Magdalena 3 Jurusan Teknik Elektro STT Telkom Jalan Telekomunikasi 1, Dayeuh

Lebih terperinci

BAB III METODE PENELITIAN. sistem. Blok diagram sistem dapat dilihat pada gambar 3.1 di bawah ini.

BAB III METODE PENELITIAN. sistem. Blok diagram sistem dapat dilihat pada gambar 3.1 di bawah ini. BAB III METODE PENELITIAN 3.1 Diagram Blok Sistem Perancangan sistem EKG ini dimulai dengan perancangan blok diagram sistem. Blok diagram sistem dapat dilihat pada gambar 3.1 di bawah ini. Perangkat keras

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Adaptive Noise Cancellation merupakan salah satu aplikasi filter adaptif yang digunakan untuk meredam noise pada sinyal. Aplikasi filter ini menggunakan algoritma Least

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN. 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Elektrokardiogram (EKG) merupakan sinyal fisiologis yang dihasilkan oleh aktifitas kelistrikan jantung. Sinyal ini direkam menggunakan perangkat elektrokardiograf.

Lebih terperinci

Perancangan Software Deteksi Kelainan Jantung Premature Atrial Contractions (PACs) Menggunakan RR Interval pada Smartphone Berbasis Android

Perancangan Software Deteksi Kelainan Jantung Premature Atrial Contractions (PACs) Menggunakan RR Interval pada Smartphone Berbasis Android Perancangan Software Deteksi Kelainan Jantung Premature Atrial Contractions (PACs) Menggunakan RR Interval pada Smartphone Berbasis Android Software Design Of an Android Based Smartphone Application to

Lebih terperinci

Klasifikasi dan Pengenalan Pola pada Sinyal EKG Berdasarkan Sifat Keacakan (Entropy) dengan 6 Channel

Klasifikasi dan Pengenalan Pola pada Sinyal EKG Berdasarkan Sifat Keacakan (Entropy) dengan 6 Channel Klasifikasi dan Pengenalan Pola pada Sinyal EKG Berdasarkan Sifat Keacakan (Entropy) 6 Channel Jaenal Arifin 1, Oyas Wahyunggoro 2, Rudy Hartanto 3 Jurusan Teknik Elektro dan Teknologi Informasi Fakultas

Lebih terperinci

Jurusan Teknik Elektro, 3 Jurusan Teknik Informatika Sekolah Tinggi Teknologi Telkom, Bandung

Jurusan Teknik Elektro, 3 Jurusan Teknik Informatika Sekolah Tinggi Teknologi Telkom, Bandung PKMT-2-19-1 DESAIN DAN REALISASI ALAT ELEKTROKARDIOGRAF BERBASIS MIKROPROSESSOR 8-BIT BESERTA SISTEM DATABASE DAN MONITORINGNYA YANG BERBASIS ONLINE UNTUK MEMBANTU PASIEN JANTUNG Ahmad Sutanto 1, R Saputra

Lebih terperinci

Pengembangan Perangkat EKG 12 Lead dan Aplikasi Client-Server untuk Distribusi Data

Pengembangan Perangkat EKG 12 Lead dan Aplikasi Client-Server untuk Distribusi Data Jurnal ELKOMIKA Teknik Elektro Itenas No. 2 Vol. 3 ISSN: 2338-8323 Juli - Desember 2015 Pengembangan Perangkat EKG 12 Lead dan Aplikasi Client-Server untuk Distribusi Data SUGONDO HADIYOSO 1, MUHAMMAD

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEOI Simulator ECG adalah sinyal tiruan aktifitas jantung yang banyak digunakan baik oleh tenaga medis maupun teknisi lainya yang berkaitan dengan penggunaan alat perekam aktifitas listrik

Lebih terperinci

III. METODE PENELITIAN. Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro

III. METODE PENELITIAN. Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro 37 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung. Penelitian dimulai pada bulan Februari 2011

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Microcontroller-based Mini Wearable ECG Design. Desain Mini wearable ECG Berbasis Mikrokontroler

Microcontroller-based Mini Wearable ECG Design. Desain Mini wearable ECG Berbasis Mikrokontroler Microcontroller-based Mini Wearable ECG Design Desain Mini wearable ECG Berbasis Mikrokontroler Sugondo Hadiyoso, Koredianto Usman, Achmad Rizal, Radian Sigit Faculty of Engineering Telkom University Jl.

Lebih terperinci

Telemonitoring Elektrokardiografi Portabel. Portable Electrocardiograph Telemonitoring

Telemonitoring Elektrokardiografi Portabel. Portable Electrocardiograph Telemonitoring 19 Telemonitoring Elektrokardiografi Portabel Portable Electrocardiograph Telemonitoring Uriep Suriepto, Jana Utama Universitas Komputer Indonesia Jl. Dipati ukur No 112, Bandung Email : riepshean@yahoo.com

Lebih terperinci

Identifikasi dan Klasifikasi Pola Sinyal EKG Berdasarkan Sifat Keacakan (Entropy)

Identifikasi dan Klasifikasi Pola Sinyal EKG Berdasarkan Sifat Keacakan (Entropy) Identifikasi dan Klasifikasi Pola Sinyal EKG Berdasarkan Sifat Keacakan (Entropy) Jaenal Arifin 1, Hanung Adi Nugroho 2 Jurusan Teknik Elektro dan Teknologi Informasi Fakultas Teknik, Universitas Gadjah

Lebih terperinci

PERENCANAAN DAN PENGAMBILAN DATA DENYUT JANTUNG UNTUK MENGETAHUI HEART RATE PASCA AKTIFITAS DENGAN PC

PERENCANAAN DAN PENGAMBILAN DATA DENYUT JANTUNG UNTUK MENGETAHUI HEART RATE PASCA AKTIFITAS DENGAN PC PERENCANAAN DAN PENGAMBILAN DATA DENYUT JANTUNG UNTUK MENGETAHUI HEART RATE PASCA AKTIFITAS DENGAN PC Miftakh Dali Suryana 1, Ir. Ratna Adil, MT 2, Paulus Susetyo Wardhana 2 Jurusan Elektronika, Politeknik

Lebih terperinci

BABI PENDAHULUAN 1.1 Latar Belakang

BABI PENDAHULUAN 1.1 Latar Belakang BABI PENDAHULUAN 1.1 Latar Belakang Saat ini transformasi wavelet banyak sekali digunakan dan bermanfaat untuk analisis numerik, analisis isyarat, aplikasi kontrol dan aplikasi audio [1]. Dalam analisis

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN BAB I PENDAHULUAN 1.1. Latar Belakang Indonesia merupakan negara kepulauan yang sangat luas, membutuhkan suatu sistem navigasi yang handal dan mandiri. Dengan adanya sistem navigasi, akan memudahkan penentuan

Lebih terperinci

Pcndahuluan. Bab I Latar Belakang Masalah

Pcndahuluan. Bab I Latar Belakang Masalah Bab I Pcndahuluan Bagian pendahuluan ini akan memuat beberapa pokok bahasan yaitu mengenai latar belakang masalah, tujuan dari kegiatan penelitian serta manfaat yang ingin dicapai dengan merealisasikan

Lebih terperinci

SKRIPSI APLIKASI ADAPTIVE NOISE CANCELLATION FREKUENSI 50 HZ PADA ELECTROCARDIOGRAM

SKRIPSI APLIKASI ADAPTIVE NOISE CANCELLATION FREKUENSI 50 HZ PADA ELECTROCARDIOGRAM SKRIPSI APLIKASI ADAPTIVE NOISE CANCELLATION FREKUENSI 50 HZ PADA ELECTROCARDIOGRAM Oleh : WELLY OCTANIUS 5103011002 JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS KATOLIK WIDYA MANDALA SURABAYA 2016

Lebih terperinci

Ekstraksi Parameter Temporal Sinyal ECG Menggunakan Difference Operation Method

Ekstraksi Parameter Temporal Sinyal ECG Menggunakan Difference Operation Method 194 Ekstraksi Parameter Temporal Sinyal ECG Menggunakan Difference Operation Method Abdul Yasak *, Achmad Arifin Jurusan Teknik Elektro, ITS Surabaya 60 Phone : (62 31) 594 7302, Fax : (62 31) 593 1237

Lebih terperinci

SISTEM AKUISISI ECG MENGGUNAKAN USB UNTUK DETEKSI ARITMIA

SISTEM AKUISISI ECG MENGGUNAKAN USB UNTUK DETEKSI ARITMIA SISTEM AKUISISI ECG MENGGUNAKAN USB UNTUK DETEKSI ARITMIA Arif Widodo 2205100108 Jurusan Teknik Elektro ITS, Surabaya 60111, email: arifw108@gmail.com Aritmia merupakan istilah medis yang diartikan sebagai

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Oksigen merupakan gas yang sangat penting bagi kehidupan manusia. Manusia membutuhkan kadar oksigen yang cukup dalam tubuh untuk dapat bertahan hidup. Sehingga perlu

Lebih terperinci

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16 DAFTARISI FIALAMAN JUDUL i LEMBAR PENGESAHAN DOSEN PEMBIMBING h" LEMBAR PENGESAHAN DOSENPENGUJI jij HALAMANPERSEMBAHAN jv HALAMANMOTTO v KATA PENGANTAR V1 ABSTRAKSI viii DAFTARISI ix DAFTARGAMBAR xii DAFTARTABEL

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN. 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Elektromiografi (EMG) adalah sebuah teknik yang digunakan untuk merekam aktivitas elektris yang dihasilkan oleh kontraksi otot manusia. EMG didesain dan digunakan untuk

Lebih terperinci

DAFTAR ISTILAH. EKG Elektrokardiogram, lebih sering digunakan untuk menunjukkan perangkat.

DAFTAR ISTILAH. EKG Elektrokardiogram, lebih sering digunakan untuk menunjukkan perangkat. DAFTAR ISTILAH EKG Elektrokardiogram, lebih sering digunakan untuk menunjukkan perangkat. Sinyal EKG Lebih sering digunakan untuk menunjukkan sinyal kelistrikan jantung. xiii BAB I PENDAHULUAN 1.1 Latar

Lebih terperinci

I. PENDAHULUAN. Jantung merupakan salah satu organ tubuh yang sangat vital, karena jantung

I. PENDAHULUAN. Jantung merupakan salah satu organ tubuh yang sangat vital, karena jantung I. PENDAHULUAN A. Latar Belakang Jantung merupakan salah satu organ tubuh yang sangat vital, karena jantung berfungsi untuk memompakan darah ke seluruh jaringan tubuh. Jika terjadi gangguan pada jantung

Lebih terperinci

BAB IV HASIL DAN PEMBAHASAN 4.1 Gambar Rangkaian EMG Dilengkapi Bluetooth

BAB IV HASIL DAN PEMBAHASAN 4.1 Gambar Rangkaian EMG Dilengkapi Bluetooth BAB IV HASIL DAN PEMBAHASAN 4.1 Gambar Rangkaian EMG Dilengkapi Bluetooth Gambar 4. 1 Rangkaian keseluruhan EMG dilengkapi bluetooth Perancangan EMG dilengkapi bluetooth dengan tampilan personal computer

Lebih terperinci

IMPLEMENTASI PROTOKOL USB PADA PENGONTROL MIKRO ATMEGA8 UNTUK AKUISISI DATA SINYAL ELEKTROKARDIOGRAM Disusun Oleh : Innocentio Aloysius Loe ( )

IMPLEMENTASI PROTOKOL USB PADA PENGONTROL MIKRO ATMEGA8 UNTUK AKUISISI DATA SINYAL ELEKTROKARDIOGRAM Disusun Oleh : Innocentio Aloysius Loe ( ) IMPLEMENTASI PROTOKOL USB PADA PENGONTROL MIKRO ATMEGA8 UNTUK AKUISISI DATA SINYAL ELEKTROKARDIOGRAM Disusun Oleh : Innocentio Aloysius Loe (0922045) Jurusan Teknik Elektro, Fakultas Teknik Universitas

Lebih terperinci

BAB II TINJAUAN PUSTAKA. untuk mengecek alat EKG. Penulis membandingakan dengan alat simulator pada

BAB II TINJAUAN PUSTAKA. untuk mengecek alat EKG. Penulis membandingakan dengan alat simulator pada BAB II TINJAUAN PUSTAKA 2.1 Penelitian Terdahulu Berdasarkan hasil penelitian penulis saat dilaboratorium pada 21 desember 2016 bertempat di RS PKU Muhammadiyah bahwasannya, alat simulator pasien pada

Lebih terperinci

DESAIN DAN REALISASI SISTEM WIRELESS MONITORING ECG DENGAN MODULASI ASK

DESAIN DAN REALISASI SISTEM WIRELESS MONITORING ECG DENGAN MODULASI ASK DESAIN DAN REALISASI SISTEM WIRELESS MONITORING ECG DENGAN MODULASI ASK Achmad Rizal 1, Khusni Eka Wardana 2, Imam Teguh P 3, Budianto 4 Jurusan Teknik Elektro Sekolah Tinggi Teknologi Telkom Jl. Telekomunikasi

Lebih terperinci

Studi Level Daya Pada Perangkat Zigbee Untuk Kelayakan Aplikasi Realtime Monitoring

Studi Level Daya Pada Perangkat Zigbee Untuk Kelayakan Aplikasi Realtime Monitoring Studi Level Daya Pada Perangkat Zigbee Untuk Kelayakan Aplikasi Realtime Monitoring Sugondo Hadiyoso 1), Achmad Rizal 2), Suci Aulia 3), M. Sofie 4) 1,3 Fakultas Ilmu Terapan, Universitas Telkom email:

Lebih terperinci

Sistem Instrumentasi Sinyal Electrocardiography untuk Analisa Dinamika Jantung

Sistem Instrumentasi Sinyal Electrocardiography untuk Analisa Dinamika Jantung Sistem Instrumentasi Sinyal Electrocardiography untuk Analisa Dinamika Jantung Eko Agus Suprayitno Bidang Keahlian Teknik Elektronika, Institut Teknologi Sepuluh Nopember 60111 Surabaya, Indonesia Email:

Lebih terperinci

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA

Perancangan dan Implementasi Prosesor FFT 256 Titik-OFDM Baseband 1 Berbasis Pengkodean VHDL pada FPGA BAB I PENDAHULUAN I.1 Latar Belakang Teknologi komunikasi wireless saat ini berkembang dengan pesat seiring meningkatnya kebutuhan pengguna terhadap layanan yang cepat dan beragam. Hal ini terlihat dari

Lebih terperinci

Desain dan Implementasi Elektrokardiogram (EKG) Portable Menggunakan Arduino

Desain dan Implementasi Elektrokardiogram (EKG) Portable Menggunakan Arduino ELECTRICIAN Jurnal Rekayasa dan Teknologi Elektro Desain dan Implementasi Elektrokardiogram (EKG) Portable Menggunakan Arduino Rudi Uswarman Teknik Elektro Intitut Teknologi Sumatera, Lampung Selatan Jl.

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

PERANCANGAN ALAT PENDETEKSI SINYAL ELECTROCARDIOGRAM BERBASIS MIKROKONTROLER

PERANCANGAN ALAT PENDETEKSI SINYAL ELECTROCARDIOGRAM BERBASIS MIKROKONTROLER PERANCANGAN ALAT PENDETEKSI SINYAL ELECTROCARDIOGRAM BERBASIS MIKROKONTROLER TUGAS AKHIR Diajukan Guna Memenuhi Sebagian Persyaratan Untuk Memperoleh Gelar Sarjana Pada Jurusan Teknik Informatika Universitas

Lebih terperinci

ADLN - PERPUSTAKAAN UNIVERSITAS AIRLANGGA BAB III METODOLOGI PENELITIAN. Fisika, Fakultas Sains dan Teknologi, Universitas Airlangga.

ADLN - PERPUSTAKAAN UNIVERSITAS AIRLANGGA BAB III METODOLOGI PENELITIAN. Fisika, Fakultas Sains dan Teknologi, Universitas Airlangga. BAB III METODOLOGI PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian dilaksanakan pada bulan Februari 2015 hingga Desember 2015 di Laboratorium Elektronika dan Laboratorium Instrumentasi Medis, Departemen

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK

PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK PERANCANGAN SISTEM PENDETEKSI ARITMIA MENGGUNAKAN NEURAL NETWORK ANDRI ISWANTO Bidang Studi Teknik Elektronika Jurusan Teknik Elektro, Fakultas Teknologi Industri Institut Teknologi Sepuluh November Kampus

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

BAB I PENDAHULUAN. Jantung merupakan sebuah organ tubuh yang terdiri dari sekumpulan otot.

BAB I PENDAHULUAN. Jantung merupakan sebuah organ tubuh yang terdiri dari sekumpulan otot. BAB I PENDAHULUAN 1.1 Latar Belakang Jantung merupakan sebuah organ tubuh yang terdiri dari sekumpulan otot. Otot jantung merupakan jaringan istimewa karena kalau dilihat dari bentuk dan susunannya sama

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Pada awalnya instrument medis yang digunakan oleh para medis sangat sederhana, dan dengan berkembangnya dunia kedokteran dan perangkat elektronik diketahui bahwa

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Jurnal Einstein 2 (3) (2014): Jurnal Einstein. Available online

Jurnal Einstein 2 (3) (2014): Jurnal Einstein. Available online Jurnal Einstein Available online http://jurnal.unimed.ac.id/2012/index.php/einstein RANCANG BANGUN INSTRUMENTASI ELEKTROKARDIOGRAFI BERBANTUAN PC MENGGUNAKAN SOUNDSCOPE Evi Ulandari dan Ridwan Abdullah

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Definisi PWM Sinyal PWM pada umumnya memiliki amplitudo dan frekuensi dasar yang tetap, namun, lebar pulsanya bervariasi. Lebar pulsa PWM berbanding lurus dengan amplitudo sinyal

Lebih terperinci

BAB II KONSEP DASAR SISTEM MONITORING TEKANAN BAN

BAB II KONSEP DASAR SISTEM MONITORING TEKANAN BAN BAB II KONSEP DASAR SISTEM MONITORING TEKANAN BAN Konsep dasar sistem monitoring tekanan ban pada sepeda motor secara nirkabel ini terdiri dari modul sensor yang terpasang pada tutup pentil ban sepeda

Lebih terperinci

HeartDroid: RANCANG BANGUN VISUALISASI ELECTROCARDIOGRAM (ECG) MENGGUNAKAN MIKROKONTROLER ARDUINO

HeartDroid: RANCANG BANGUN VISUALISASI ELECTROCARDIOGRAM (ECG) MENGGUNAKAN MIKROKONTROLER ARDUINO HeartDroid: RANCANG BANGUN VISUALISASI ELECTROCARDIOGRAM (ECG) MENGGUNAKAN MIKROKONTROLER ARDUINO Oleh : Muhammad Faridh Djamalilleil 5110100007 Dosen Pembimbing: 1. Ary Mazharuddin Shiddiqi, S.Kom., M.Comp.Sc.

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat BAB 3 PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat pengukur tinggi bensin pada reservoir SPBU. Dalam membuat suatu sistem harus dilakukan analisa mengenai

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

MONITORING PHOTOPLETHYSMOGRAPH DIGITAL DENGAN WIRELESS LAN (802.11b)

MONITORING PHOTOPLETHYSMOGRAPH DIGITAL DENGAN WIRELESS LAN (802.11b) MONITORING PHOTOPLETHYSMOGRAPH DIGITAL DENGAN WIRELESS LAN (802.11b) Sugondo Hadiyoso, Achmad Rizal, dan Rita Magdalena Biomedical Signal Processing & Instrumentation Research Group (BioSPIN) Fakultas

Lebih terperinci

Perancangan Simulator EKG (Elektronik Kardiogra) Menggunakan Software Proteus 8.0

Perancangan Simulator EKG (Elektronik Kardiogra) Menggunakan Software Proteus 8.0 Perancangan Simulator EKG (Elektronik Kardiogra) Menggunakan Software Proteus 8.0 Suroso Andrianto dan Laela Sakinah Teknik Elektro Sekolah Tinggi Teknik Multimedia Cendekia Abditama Tangerang, Indonesia

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

ALAT PENDETEKSI DETAK JANTUNG DAN SUHU TUBUH MENGGUNAKAN IC ATMEGA 16. Fajar Ahmad Fauzi

ALAT PENDETEKSI DETAK JANTUNG DAN SUHU TUBUH MENGGUNAKAN IC ATMEGA 16. Fajar Ahmad Fauzi ALAT PENDETEKSI DETAK JANTUNG DAN SUHU TUBUH MENGGUNAKAN IC ATMEGA 16 Fajar Ahmad Fauzi Prodi D3 Teknik Elektromedik, Fakultas Vokasi Universitas Muhammadiyah Yogyakarta Kampus Politeknik UMY, Jln. Hos.

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Jantung (cardiac) adalah organ di dalam tubuh manusia yang mempunyai fungsi untuk memompa dan mengedarkan darah yang membawa oksigen dan nutrisi ke seluruh jaringan

Lebih terperinci

PORTABLE ELEKTROCARDIOGRAPH

PORTABLE ELEKTROCARDIOGRAPH PORTABLE ELEKTROCARDIOGRAPH S. Liawatimena; Gede Arthabagia; Stephanus Adrian Pramono Computer Engineering Department, Faculty of Engineering, Binus University Jln. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

BAB IV PERANCANGAN DAN IMPLEMENTASI SISTEM

BAB IV PERANCANGAN DAN IMPLEMENTASI SISTEM BAB IV PERANCANGAN DAN IMPLEMENTASI SISTEM IV.1 Deskripsi Perangkat Perangkat yang dirancang dalam tugas akhir ini merupakan sistem instrumentasi pengukuran yang bertujuan untuk merekam data sinyal dari

Lebih terperinci

Disusun Oleh: Kevin Yogaswara ( ) Meitantia Weni S B ( ) Pembimbing: Ir. Rusdhianto Effendi AK., MT.

Disusun Oleh: Kevin Yogaswara ( ) Meitantia Weni S B ( ) Pembimbing: Ir. Rusdhianto Effendi AK., MT. Disusun Oleh: Kevin Yogaswara (2207 030 006) Meitantia Weni S B (2207 030 055) Pembimbing: Ir. Rusdhianto Effendi AK., MT. PROGRAM STUDI DIII TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Indonesia saat ini menghadapi masalah kesehatan yang kompleks dan beragam. Mulai dari demam berdarah sampai penyakit pernapasan akut akibat virus flu burung yang terjadi

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

Sinyal ECG. ECG Signal 1

Sinyal ECG. ECG Signal 1 Sinyal ECG ECG Signal 1 Gambar 1. Struktur Jantung. RA = right atrium, RV = right ventricle; LA = left atrium, dan LV = left ventricle. ECG Signal 2 Deoxygenated blood Upper body Oxygenated blood Right

Lebih terperinci

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler BAB I PENDAHULUAN 1.1 Latar Belakang Pada dewasa ini dunia telekomunikasi berkembang sangat pesat. Banyak transmisi yang sebelumnya menggunakan analog kini beralih ke digital. Salah satu alasan bahwa sistem

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB III PERENCANAAN. 3.1 Perencanaan Secara Blok Diagram

BAB III PERENCANAAN. 3.1 Perencanaan Secara Blok Diagram BAB III PERENCANAAN Pada bab ini penulis akan menjelaskan lebih rinci mengenai perencanaan dalam pembuatan alat. Penulis membuat rancangan secara blok diagram sebagai pembahasan awal. 3.1 Perencanaan Secara

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang Penelitian Realisasi Monitoring Denyut Nadi Pasien Wireless Dengan ZigBee.

BAB I PENDAHULUAN 1.1 Latar Belakang Penelitian Realisasi Monitoring Denyut Nadi Pasien Wireless Dengan ZigBee. BAB I PENDAHULUAN 1.1 Latar Belakang Penelitian Denyut nadi merupakan salah satu indikator dari kesehatan manusia. Tekanan denyut nadi pada manusia dipengaruhi oleh kontraksi otot jantung. Ketika otot

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

I. PENDAHULUAN. pembuluh darah secara teratur dan berulang. Letak jantung berada di sebelah kiri

I. PENDAHULUAN. pembuluh darah secara teratur dan berulang. Letak jantung berada di sebelah kiri I. PENDAHULUAN 1.1. Latar Belakang Jantung merupakan salah satu rongga organ berotot yang memompa darah ke pembuluh darah secara teratur dan berulang. Letak jantung berada di sebelah kiri bagian dada diantara

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

I. PENDAHULUAN. sudah bisa kita rasakan sekarang ini. Peralatan medis. membantu di dalam diagnosis, monitoring atau terapi medis.

I. PENDAHULUAN. sudah bisa kita rasakan sekarang ini. Peralatan medis. membantu di dalam diagnosis, monitoring atau terapi medis. 1 I. PENDAHULUAN A. Latar Belakang Dalam dunia kesehatan penggunaan peralatan medis berteknologi canggih sudah bisa kita rasakan sekarang ini. Peralatan medis ini dirancang untuk membantu di dalam diagnosis,

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Penyakit jantung merupakan penyebab kematian nomor satu di dunia pada saat ini. Menurut Badan Kesehatan Dunia (WHO), pada tahun 2002 sedikitnya 6 juta kematian di

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci